这个verilog根据配置选取参数值值多少钱?

1、不使用初始化语句;
3、不使用循环次数不确定的语句如:forever,while等;
4、尽量采用同步方式设计电路;
5、尽量采用行为语句完成设计;
6、always过程块描述组合逻辑应在敏感信號表中列出所有的输入信号;
7、所有的内部寄存器都应该可以被复位;
8、用户自定义原件(UDP元件)是不能被综合的。
Verilog中的变量有线网类型囷寄存器类型线网型变量综合成wire,而寄存器可能综合成WIRE锁存器和触发器,还有可能被优化掉
二:verilog语句结构到门级的映射
连续性赋值語句逻辑结构上就是将等式右边的驱动左边的结点。因此连续性赋值的目标结点总是综合成由组合逻辑驱动的结点Assign语句中的延时综合时嘟将忽视。
过程性赋值只出现在always语句中
阻塞赋值和非阻塞赋值就该赋值本身是没有区别的,只是对后面的语句有不同的影响
建议设计組合逻辑电路时用阻塞赋值,设计时序电路时用非阻塞赋值
过程性赋值的赋值对象有可能综合成wire, latch,和flip-flop,取决于具体状况如,时钟控制下嘚非阻塞赋值综合成flip-flop
过程性赋值语句中的任何延时在综合时都将忽略。
建议同一个变量单一地使用阻塞或者非阻塞赋值
逻辑操作符对應于硬件中已有的逻辑门,一些操作符不能被综合:===、!==
Verilog中将reg视为无符号数,而integer视为有符号数因此,进行有符号操作时使用integer,使用无符号操作时使用reg
通常会将进行运算操作的结果比原操作数扩展一位,用来存放进位或者借位如:
C的最高位用来存放进位。
和算术操作符一樣可以进行有符号和无符号运算,取决于数据类型是regnet还是integer。
7、相等运算符:==!=
注意:===和!==是不可综合的。
可以进行有符号或无符号操作取决于数据类型
左移,右移右边操作数可以是常数或者是变量,二者综合出来的结果不同
部分选择索引必须是常量。
BIT选择中的索引可以用变量这样将综合成多路(复用)器。
11、敏感表:Always过程中所有被读取的数据,即等号右边的变量都要应放在敏感表中不然,综合时不能正确地映射到所用的门
如果变量没有在IF语句的每个分支中进行赋值,将会产生latch如果IF语句中产生了latch,则IF的条件中最好不要鼡到算术操作Case语句类似。Case的条款可以是变量
如果一个变量在同一个IF条件分支中先赎值然后读取,则不会产生latch如果先读取,后赎值則会产生latch。
只有for-loop语句是可以综合的
14、设计时序电路时,建议变量在always语句中赋值而在该always语句外使用,使综合时能准确地匹配建议不要使用局部变量。
15、不能在多个always块中对同一个变量赎值
函数代表一个组合逻辑所有内部定义的变量都是临时的,这些变量综合后为wire
任务鈳能是组合逻辑或者时序逻辑,取决于何种情况下调用任务
Z会综合成一个三态门,必须在条件语句中赋值
优点:参数可重载不需要多佽定义模块
当进程涉及到共用ALU时,要考虑资源分配问题可以共享的操作符主要有:关系操作符、加减乘除操作符。通常乘和加不共用ALU塖除通常在其内部共用。
两者虽然有共用的A+B但是有些综合工具不能识别.可以将第二句改为:D=G+C;这样只需两个加法器.
如循环语呴中没有发生变化的语句移出循环.
两种方法:1、在每一个IF分支中对变量赋值。2、在每一个IF语句中都对变量赋初值
综合生成的存储器如ROM戓RAM不是一种好方法,只是成堆的寄存器很费资源。最好用库自带的存储器模块
在always语句中,如果敏感表不含时钟最好将所有的被读取嘚信号都放在敏感表中。
建议不要在异步时对变量读取即异步复位时,对信号赋以常数值

*带异步清零端的D触发器的verilog描述如下: //关键字”reg”表示q和qn是”register”类型的信号;verilog中有两种类型的信号:”register”类型和”wire”类型.你可以简单地把register类型的信号想象为某个D触发器的输出,而wire类型的的信号昰组合逻辑的输出.二者的最大区别在于:你可以对register类型的信号进行定时赋值(用wait语句在特定时刻的赋值,详见下面always语句),而对于wire类型的信号则不可.
then…”,”wait”和”@”的区别:请参考本模块.wait表示本语句块的进程停止,直到”cdn=0”的条件出现才继续;我理解在verilog中,每个最外层语句块都是一个*的进程;”@”(请看下个always语句)也表示本语句块的进程停止,直到后面定义”posedge


2.在设计时总是记住时序问题
3.在一个设计开始就要考虑到地电平或高电平复位、同步或异步复位、上升沿或下降沿触发等问题在所有模块中都要遵守它
4.在不同的情况下用if和case,最好少用if的多层嵌套(1层或2层比较合適当在3层以上时,最好修改写法因为这样不仅可以reduce area,而且可以获得好的timing)
5.在锁存一个信号或总线时要小心对于整个design,尽量避免使用latch因为在DFT时很难test。
7.永远不要再写入之前读取任何内部存储器(如SRAM)
8.从一个时钟到另一个不同的时钟传输数据时用数据缓冲他工作像一个雙时钟FIFO(是异步的),可以用Async SRAM搭建Async FIFO
9.在VHDL中二维数组可以使用,它是非常有用的在VERILOG中他仅仅可以使用在测试模块中,不能被综合
11.像synopsys的DC的综匼工具是非常稳定的任何bugs都不会从综合工具中产生
12.确保FPGA版本与ASIC的版本尽可能的相似,特别是SRAM类型若版本一致是最理想的,但是在工作ΦFPGA版本一般用FPGA自带的SRAMASIC版本一般用厂商提供的SRAM。
13.在嵌入式存储器中使用BIST
14.虚单元和一些修正电路是必需的
15.一些简单的测试电路也是需要的經常在一个芯片中有许多测试模块
16.除非低功耗不要用门控时钟,强烈建议不要在design中使用gate clock
17.不要依靠脚本来保证设计但是在脚本中的一些好嘚约束能够起到更好的性能(例如前向加法器)
18.如果时间充裕,通过时钟做一个多锁存器来取代用MUX
21.选择pad时要小心(如上拉能力施密特触發器,5伏耐压等)选择合适的IO cell
22.小心由时钟偏差引起的问题
23.不要试着产生半周期信号
24.如果有很多函数要修正,请一个一个地作修正一个函数检查一个函数
25.在一个计算等式中排列每个信号的位数是一个好习惯,即使综合工具能做
26.不要使用HDL提供的除法器
27.削减不必要的时钟它會在设计和布局中引起很多麻烦,大多数FPGA有1-4个专门的时钟通道
良好代码编写风格可以满足信、达、雅的要求在满足功能和性能目标的湔提下,增强代码的可读性、可移植性首要的工作是在项目开发之前为整个设计团队建立一个命名约定和缩略语清单,以文档的形式记錄下来并要求每位设计人员在代码编写过程中都要严格遵守。良好代码编写风格的通则概括如下:
(1) 对所有的信号名、变量名和端口洺都用小写这样做是为了和业界的习惯保持一致;对常量名和用户定义的类型用大写;
(2) 使用有意义的信号名、端口名、函数名和参數名;
(3) 信号名长度不要太长;
(4) 对于时钟信号使用clk 作为信号名,如果设计中存在多个时钟使用clk 作为时钟信号的前缀;
(5) 对来自哃一驱动源的信号在不同的子模块中采用相同的名字,这要求在芯片总体设计时就定义好顶层子模块间连线的名字端口和连接端口的信號尽可能采用相同的名字;
(6) 对于低电平有效的信号,应该以一个下划线跟一个小写字母b 或n 表示注意在同一个设计中要使用同一个小寫字母表示低电平有效;
(7) 对于复位信号使用rst 作为信号名,如果复位信号是低电平有效建议使用rst_n;
(8) 当描述多比特总线时,使用一致的定义顺序对于verilog 建议采用bus_signal[x:0]的表示;
(9) 尽量遵循业界已经习惯的一些约定。如*_r 表示寄存器输出*_a 表示异步信号,*_pn 表示多周期路径第n 个周期使用的信号*_nxt 表示锁存前的信号,*_z 表示三态信号等;
(10)在源文件、批处理文件的开始应该包含一个文件头、文件头一般包含的内容洳下例所示:文件名作者,模块的实现功能概述和关键特性描述文件创建和修改的记录,包括修改时间修改的内容等;
(11)使用适當的注释来解释所有的always 进程、函数、端口定义、信号含义、变量含义或信号组、变量组的意义等。注释应该放在它所注释的代码附近要求简明扼要,只要足够说明设计意图即可避免过于复杂;
(12)每一行语句独立成行。尽管VHDL 和Verilog 都允许一行可以写多个语句当时每个语句獨立成行可以增加可读性和可维护性。同时保持每行小于或等于72 个字符这样做都是为了提高代码得可读性;
(13)建议采用缩进提高续行囷嵌套语句得可读性。缩进一般采用两个空格如西安交通大学SOC 设计中心2 如果空格太多则在深层嵌套时限制行长。同时缩进避免使用TAB 键這样可以避免不同机器TAB 键得设置不同限制代码得可移植能力;
(14)在RTL 源码的设计中任何元素包括端口、信号、变量、函数、任务、模块等嘚命名都不能取Verilog 和VHDL 语言的关键字;
(15)在进行模块的端口申明时,每行只申明一个端口并建议采用以下顺序:
(16)在例化模块时,使用洺字相关的显式映射而不要采用位置相关的映射这样可以提高代码的可读性和方便debug 连线错误;
(17)如果同一段代码需要重复多次,尽可能使用函数如果有可能,可以将函数通用化以使得它可以复用。注意内部函数的定义一般要添加注释,这样可以提高代码的可读性;
(18)尽可能使用循环语句和寄存器组来提高源代码的可读性这样可以有效地减少代码行数;
(19)对一些重要的always 语句块定义一个有意义嘚标号,这样有助于调试注意标号名不要与信号名、变量名重复;
(20)代码编写时的数据类型只使用IEEE 定义的标准类型,在VHDL 语言中设计鍺可以定义新的类型和子类型,但是所有这些都必须基于IEEE 的标准;
(21)在设计中不要直接使用数字作为例外,可以使用0 和1建议采用参數定义代替直接的数字。同时在定义常量时,如果一个常量依赖于另一个常量建议在定义该常量时用表达式表示出这种关系;
(22)不偠在源代码中使用嵌入式的dc_shell 综合命令。这是因为其他的综合工具并不认得这些隐含命令从而导致错误的或较差的综合结果。即使使用Design Compiler當综合策略改变时,嵌入式的综合命令也不如放到批处理综合文件中易于维护这个规则有一个例外的综合命令,即编译开关的打开和关閉可以嵌入到代码中;
(23)在设计中避免实例化具体的门级电路门级电路可读性差,且难于理解和维护如果使用特定工艺的门电路,設计将变得不可移植如果必须实例化门电路,我们建议采用独立于工艺库的门电路如SYNOPSYS 公司提供的GTECH 库包含了高质量的常用的门级电路;
(24)避免冗长的逻辑和子表达式;
(25)避免采用内部三态电路,建议用多路选择电路代替内部三态电路

规则 #1: 建立时序逻辑模型时,采用非阻塞赋值语句


规则 #2: 建立latch模型时,采用非阻塞赋值语句
规则 #3: 在always块中建立组合逻辑模型时,采用阻塞赋值语句
规则 #4: 在一个always块中同时有組合和时序逻辑时时,采用非阻塞赋值语句
规则 #5: 不要在一个always块中同时采用阻塞和非阻塞赋值语句。
规则 #6: 同一个变量不要在多个always块中赋值
规则 #7: 调用$strobe系统函数显示用非阻塞赋值语句赋的值。
规则 #8: 不要使用#0延时赋值
1,敏感变量的描述完备性
Verilog中用always块设计组合逻辑电路时,在賦值表达式右端参与赋值的所有信号都必须在always
例如A是INTEGER型范围从0到255;B是STD_LOGIC_VECTOR,定义为8位A累加到255时,再加1就一直保持255不变不会自动反转到0,除非令其为0;而B累加到255时再加1就会自动反转到0。所以在使用时要特别注意!
以触发器为例说明描述的规范性
1无置位/清零的时序逻辑
2,囿异步置位/清零的时序逻辑
异步置位/清零是与时钟无关的当异步置位/清零信号到来时,触发器的输出立即 被置为1或0不需要等到时钟沿箌来才置位/清零。所以必须要把置位/清零信号 列入always块的事件控制表达式。
3有同步置位/清零的时序逻辑
同步置位/清零是指只有在时钟的囿效跳变时刻置位/清零,才能使触发器的输出分 别转换为1或0所以,不要把置位/清零信号列入always块的事件控制表达式但是 必须在always块中首先檢查置位/清零信号的电平。
在整个芯片设计项目中行为设计和结构设计的编码是最重要的一个步骤。 它对逻辑综合和布线结果、时序测萣、校验能力、测试能力甚至产品支持 都有重要的影响考虑到仿真器和真实的逻辑电路之间的差异,为了有效的
1避免使用内部生成的時钟
内部生成的时钟称为门生时钟(gated clock)。如果外部输入时钟和门生时钟同时驱动 则不可避免的两者的步调不一致,造成逻辑混乱而且,门生时钟将会增加测试的难度 和时间
2,绝对避免使用内部生成的异步置位/清零信号
内部生成的置位/清零信号会引起测试问题使某些輸出信号被置位或清零,无法正常 测试
锁存器可能引起测试问题。对于测试向量自动生成(ATPG) 为了使扫描进行,锁存器需要置为透明模式(transparent mode) 反过来,测试锁存器需要构造特定的向量这可非同一般。
4时序过程要有明确的复位值
使触发器带有复位端,在制造测试、ATPG鉯及模拟初始化时可以对整个电路进行 快速复位。
5避免模块内的三态/双向
内部三态信号在制造测试和逻辑综合过程中难于处理.
很多读鍺反映仿真双向端口的时候遇到困难,这里介绍一下双向端口的仿真方法一个典型的双向端口如图1所示。

其中inner_port与芯片内部其他逻辑相连outer_port为芯片外部管脚,out_en用于控制双向端口的方向out_en为1时,端口为输出方向out_en为0时,端口为输入方向

用Verilog语言描述如下:

用VHDL语言描述双向端口洳下:

仿真时需要验证双向端口能正确输出数据,以及正确读入数据因此需要驱动out_en端口,当out_en端口为1时testbench驱动inner_port端口,然后检查outer_port端口输出的數据是否正确;当out_en端口为0时testbench驱动outer_port端口,然后检查inner_port端口读入的数据是否正确由于inner_port和outer_port端口都是双向端口(在VHDL和Verilog语言中都用inout定义),因此驱動方法与单向端口有所不同


验证该双向端口的testbench结构如图2所示。

这是一个self-checking testbench可以自动检查仿真结果是否正确,并在Modelsim控制台上打印出提示信息图中Monitor完成信号采样、结果自动比较的功能。

用Verilog代码编写的testbench如下其中使用了自动结果比较,随机化激励产生等技术

今天重新回顾了┅下阻塞赋值和非阻塞赋值的概念,感觉又有所收获


阻塞赋值:1、RHS的表达式计算和LHS的赋值更新,这两个动作之间不能插入其他动作即所谓计算完毕,立即更新
2、所谓阻塞就是指在一个“begin…end”块中的多个阻塞赋值语句内,只有上一句完全执行完毕后才会执行下一语句,否则阻塞程序的执行
非阻塞赋值:RHS的表达式计算和LHS的赋值更新分两个节拍执行,首先应该是RHS的表达式计算,得到新值后并不立即赋徝而是放在事件队列中等待,直到
当前仿真时刻的后期才执行(原因下文会提到)
二、Verilog的分层事件队列:
在Verilog中,事件队列可以划分为5個不同的区域不同的事件根据规定放在不同的区域内,按照优先级的高低决定执行的先后顺序下表就列出了部分Verilog分层事件队列。其中活跃事件的优先级最高(最先执行),而监控事件的优先级最低而且在活跃事件中的各事件的执行顺序是随机的(注:为方便起见,茬一般的仿真器中对同一区域的不同事件是按照调度的先后关系执行的)。

活跃事件 阻塞赋值非阻塞赋值的RHS计算……

显式0延时的阻塞賦值……

由非阻塞语句产生的一个非阻塞赋值更新事件,并被调入当前仿真时刻


时间事件 被调度到将来仿真时间的事件
由上表就可以知噵,阻塞赋值属于活跃事件会立刻执行,这就是阻塞赋值“计算完毕立刻更新”的原因。此外由于在分层事件队列中,只有将活跃倳件中排在前面的事件调出并执行完毕后,才能够执行下面的事件这就可以解释阻塞赋值的第二个特点。
同样是由上表知非阻塞赋徝的RHS计算属于活跃事件,而非阻塞赋值更新事件排在非活跃事件之后因此只有仿真队列中所有的活跃事件和非活跃事件都执行完毕后,財轮到非阻塞赋值更新事件这就是非阻塞赋值必须分两拍完成的原因。

以上就是我今天的读书笔记写得仓促,如有不对敬请指出 。

┅. 强调Verilog代码编写风格的必要性


强调Verilog代码编写规范,经常是一个不太受欢迎的话题但却是非常有必要的。
每个代码编写者都有自己的编寫习惯而且都喜欢按照自己的习惯去编写代码。与自己编写风格相近的代码阅读起来容易接受和理解。相反和自己编写风格差别较大嘚代码阅读和接受起来就困难一些。
曾有编程大师总结说一个优秀的程序员,能维护的代码长度大约在1万行数量级代码的整洁程度,很大程度上影响着代码的维护难度
遵循代码编写规范书写的代码,很容易阅读、理解、维护、修改、跟踪调试、整理文档相反代码編写风格随意的代码,通常晦涩、凌乱会给开发者本人的调试、修改工作带来困难,也会给合作者带来很大麻烦
(实际上英文Coding Style有另一層涵义,更偏重的是某一个电路,用那一种形式的语言描述才能将电路描述得更准确,综合以后产生的电路更合理本文更偏重的是,编写Verilog代码时的书写习惯)

二. 强调编写规范的宗旨。


增强可读性帮助阅读者理解
三. 变量及信号命名规范。
1. 系统级信号的命名
系统级信号指复位信号,置位信号时钟信号等需要输送到各个模块的全局信号;系统信号以字符串Sys开头。
2. 低电平有效的信号后一律加下划线和芓母n如:SysRst_n;FifoFull_n;
3. 经过锁存器锁存后的信号,后加下划线和字母r与锁存前的信号区别。如CpuRamRd信号经锁存后应命名为CpuRamRd_r。
低电平有效的信号经過锁存器锁存后其命名应在_n后加r。如CpuRamRd_n信号经锁存后应命名为CpuRamRd_nr
多级锁存的信号,可多加r以标明如CpuRamRd信号,经两级触发器锁存后应命名為CpuRamRd_rr。
在系统设计阶段应该为每个模块进行命名命名的方法是,将模块英文名称的各个单词首字母组合起来形成3到5个字符的缩写。若模塊的英文名只有一个单词可取该单词的前3个字母。各模块的命名以3个字母为宜例如:

模块之间的接口信号的命名。


所有变量命名分为兩个部分第一部分表明数据方向,其中数据发出方在前数据接收方在后,第二部分为数据名称
两部分之间用下划线隔离开。
第一部汾全部大写第二部分所有具有明确意义的英文名全部拼写或缩写的第一个字母大写,其余部分小写
举例:CPUMMU_WrReq,下划线左边是第一部分玳表数据方向是从CPU模块发向存储器管理单元模块(MMU)。下划线右边Wr为Write的缩写Req是Request的缩写。两个缩写的第一个字母都大写便于理解。整个變量连起来的意思就是CPU发送给MMU的写请求信号
模块上下层次间信号的命名也遵循本规定。
若某个信号从一个模块传递到多个模块其命名應视信号的主要路径而定。
模块内部的信号由几个单词连接而成缩写要求能基本表明本单词的含义;
每个缩写单词的第一个字母大写;
若遇两个大写字母相邻,中间添加一个下划线(如DivN_Cntr);
分节书写各节之间加1到多行空格。如每个always,initial语句都是一节每节基本上完成一个特萣的功能,即用于描述某几个信号的产生在每节之前有几行注释对该节代码加以描述,至少列出本节中描述的信号的含义
行首不要使鼡空格来对齐,而是用Tab键Tab键的宽度设为4个字符宽度。行尾不要有多余的空格
使用//进行的注释行以分号结束;
使用/* /进行的注释,/和*/各占鼡一行并且顶头;
不同变量,以及变量与符号、变量与括号之间都应当保留一个空格
Verilog关键字与其它任何字符串之间都应当保留一个空格。如:
使用大括号和小括号时前括号的后边和后括号的前边应当留有一个空格。
逻辑运算符、算术运算符、比较运算符等运算符的两側各留一个空格与变量分隔开来;单操作数运算符例外,直接位于操作数前不使用空格。
使用//进行的注释在//后应当有一个空格;注釋行的末尾不要有多余的空格。

同一个层次的所有语句左端对齐;Initial、always等语句块的begin关键词跟在本行的末尾相应的end关键词与Initial、always对齐;这样做嘚好处是避免因begin独占一行而造成行数太多;

不同层次之间的语句使用Tab键进行缩进,每加深一层缩进一个Tab;


在endmoduleendtask,endcase等标记一个代码块结束的關键词后面要加上一行注释说明这个代码块的名称;
在task名称前加tsk以示标记在function的名称前加func以示标记。例如:
以上列出的代码编写规范无法覆盖代码编写的方方面面还有很多细节问题,需要在实际编写过程中加以考虑并且有些规定也不是绝对的,需要灵活处理并不是律條,但是在一个项目组内部、一个项目的进程中应该有一套类似的代码编写规范来作为约束。
总的方向是努力写整洁、可读性好的代碼
在“always”块内被赋值的每一个信号都必须定义成reg型。
reg型数据的缺省初始值是不定值
reg型只表示被定义的信号将用在“always”块内,理解这一点佷重要并不是说reg型信号一定是寄存器或触发器的输出。虽然reg型信号常常是寄存器或触发器的输出但并不一定总是这样。
memory型数据是通过擴展reg型数据的地址范围来生成的其格式如下:

在这里,reg[n-1:0]定义了存储器中每一个存储单元的大小即该存储单元是一个n位的寄存器。存储器名后的[m-1:0]或[m:1]则定义了该存储器中有多少个这样的寄存器

这个例子定义了一个名为mema的存储器,该存储器有256个8位的存储器该存储器的地址范围是0到255。注意:对存储器进行地址索引的表达式必须是常数表达式


尽管memory型数据和reg型数据的定义格式很相似,但要注意其不同之处如┅个由n个1位寄存器构成的存储器组是不同于一个n位的寄存器的。见下例:

一个n位的寄存器可以在一条赋值语句里进行赋值而一个完整的存储器则不行。见下例:

如果想对memory中的存储单元进行读写操作必须指定该单元在存储器中的地址。下面的写法是正确的

在Verilog HDL语言中,算術运算符又称为二进制运算符共有下面几种:


2) -(减法运算符,或负值运算符如 rega-3,-3)
5) % (模运算符,或称为求余运算符要求%两侧均为整型数据。如7%3的值为1)

注意: 在进行算术运算操作时如果某一个操作数有不确定的值x,则整个结果也为不定值x

关系运算符共有以下四种:


在Verilog HDL中,所有的关键词是事先定义好的确认符,用来组织语言结构关键词是用小写字母定义的,因此在编写原程序时要注意关键词的书写,以避免出错。下面是Verilog HDL中使用的关键词(请参阅附录:Verilog语言参考手册):
1) 块结束后才完成赋值操作
2) b的值并不是立刻就改变的。
3) 这是一种比较常用嘚赋值方法(特别在编写可综合模块时)
1) 赋值语句执行完后,块才结束。
2) b的值在赋值语句执行完后立刻就改变的
3) 可能会产生意想不到的結果。
1) 块内的语句是按顺序执行的即只有上面一条语句执行完后下面的语句才能执行。
2) 每条语句的延迟时间是相对于前一条语句的仿真時间而言的
3) 直到最后一条语句执行完,程序流程控制才跳出该语句块
? 块名即该块的名字,一个标识名其作用后面再详细介绍。
? 塊内声明语句可以是参数声明语句、reg型变量声明语句、integer型变量声明语句、real型变量声明语句
并行块有以下四个特点:
1) 块内语句是同时执行嘚,即程序流程控制一进入到该并行块块内语句则开始同时并行地执行。
2) 块内每条语句的延迟时间是相对于程序流程控制进入到块内时嘚仿真时间的
3) 延迟时间是用来给赋值语句提供执行时序的。
4) 当按时间时序排序在最后的语句执行完后或一个disable语句执行时程序流程控制跳出该程序块。
? 块名即标识该块的一个名字相当于一个标识符。
? 块内说明语句可以是参数说明语句、reg型变量声明语句、integer型变量声明語句、real型变量声明语句、time型变量声明语句、事件(event)说明语句

在fork_join块内,各条语句不必按顺序给出因此在并行块里,各条语句在前还是在后昰无关紧要的见下例:


在VerilgHDL语言中,可以给每个块取一个名字只需将名字加在关键词begin或fork后面即可。这样做的原因有以下几点
1) 这样可以茬块内定义局部变量,即只在块内使用的变量
2) 这样可以允许块被其它语句调用,如被disable语句
3) 在Verilog语言里,所有的变量都是静态的即所有嘚变量都只有一个唯一的存储地址,因此进入或跳出块并不影响存储在变量内的值
基于以上原因,块名就提供了一个在任何仿真时刻确認变量值的方法

casez语句用来处理不考虑高阻值z的比较过程,casex语句则将高阻值z和不定值都视为不必关心的情况

如果用到if语句,最好写上else项如果用case语句,最好写上default项遵循上面两条原则,就可以避免发生这种错误使设计者更加明确设计目标,同时也增强了Verilog程序的可读性

茬Verilog HDL中存在着四种类型的循环语句,用来控制执行语句的执行次数


3) while 执行一条语句直到某个条件不满足。如果一开始条件即不满足(为假)
则語句一次也不能被执行。
4) for通过以下三个步骤来决定语句的循环执行
a) 先给控制循环次数的变量赋初值。
b) 判定控制循环的表达式的值如为假则跳出循环语句,如为真则执行指定的语句后转到第三步。

#1:当为时序逻辑建模使用“非阻塞赋值”。


#2:当为锁存器(latch)建模使鼡“非阻塞赋值”。
#3:当用always块为组合逻辑建模使用“阻塞赋值”
#4:当在同一个always块里面既为组合逻辑又为时序逻辑建模,使用“非阻塞赋徝”
#5:不要在同一个always块里面混合使用“阻塞赋值”和“非阻塞赋值”。
#6:不要在两个或两个以上always块里面对同一个变量进行赋值
#7:使用$strobe鉯显示已被“非阻塞赋值”的值。
#8:不要使用#0延迟的赋值

9:在VERILOG语法中, if…else if … else 语句是有优先级的一般说来第一个IF的优先级最高,最后一個ELSE的优先级最低如果描述一个编码器,在XILINX的XST综合参数就有一个关于优先级编码器硬件原语句的选项Priority Encoder Extraction. 而CASE语句是”平行”的结构所有的CASE的條件和执行都没有“优先级”。而建立优先级结构会消耗大量的组合逻辑所以如果能够使用CASE语句的地方,尽量使用CASE替换IF…ELSE结构

11:慎用锁存器(latch),同步时序设计要尽量避免使用锁存器综合出非目的性latch的主要原因在于不完全的条件判断句。另外一种情况是设计中有组合逻辑的反馈环路(combinatorial feedback loops)


12:状态机的一般设计原则,Biary, gray-code 编码使用最少的触发器较多的组合逻辑。而one-hot编码反之所以CPLD多使用GRAY-CODE, 而FPGA多使用ONE-HOT编码。另一方面小型設计使用GRAY-CODE和BINARY编码更有效,而大型状态机使用ONE-HOT更有效
14:复位使初始状态可预测,防止出现禁用状态FPGA 和CPLD 的复位信号采用异步低电平有效信号,连接到其全局复位输入端使用专用路径通道,复位信号必须连接到FPGA 和CPLD 的全局复位管脚。
15:不要用时钟或复位信号作数据或使能信号,也鈈能用数据信号作为时钟或复位信号否则HDL 综合时会出现时序验证问题。信号穿过时钟的两半个周期时要在前后分别取样;防止出现半穩定状态。
16:fpga设计中 不要使用门时钟(don’t use gated clock)时钟信号必须连接到全局时钟管脚上。
17:不要使用内部三态信号否则增加功耗。
18:只使用哃步设计不要使用延时单元。
19:避免使用负延触发的双稳态多谐振荡器(flip flop)
20:不要使用信号和变量的默认值(或初始值),用复位脉冲初始化

21:不要在代码中使用buffer 类型的端口读取输出数据;要使用out 类型再增加另外变量或信号,以获取输出值


这是因为buffer 类型的端口不能连接箌其他类型的端口上,因此buffer 类型就会在整个设计的端口中传播下去

22:对变量要先读后写;如果先写后读,就会产生长的组合逻辑和锁存器(或寄存器)这是因为变量值是立即获取的。


23:在组合逻辑进程中其敏感向量标中要包含所有要读取得信号;这是为了防止出现不必要嘚锁存器。
近期在stephen Brown的一本书数字逻辑基础与verilog设计一书中看到关于触发器电路的时序分析。以前一直没有搞明白这个问题现在觉得豁然開朗。怕忘记了特地摘抄与此与edacn网友分享。
触发器电路的时序分析:
图7-84给出了一个使用D触发器的简单电路我们想要计算该电路能正常笁作的最大的时钟频率Fmax,并且想确定该电路的保持时间是否不够长在技术文献中,这种类型的电路分析通常叫做时序分析假设该触发器的时序参数为:tsu=0.6ns,th=0.4ns0.8ns<=tcQ<=1.0ns。给tcq参数规定一个范围是因为延迟参数分布在一定范围内这样处理是现成集成电路芯片常用的方法。为了计算最尛的时钟信号周期Tmin=1/Fmax我们必须考虑在触发器中从开始到结束的所有路径。在这个简单的电路中只有一条这样的路径,这条路径开始于数據被时钟信号的正跳变沿加载进入触发器经过tcQ的延迟后传播到Q的输出端,再传播通过非门同时必须满足D输入端的建立时间要求。因此:
由于我们关注的只是计算出最长的延迟时间所以应该用tcQ的最大值。为了计算出tNOT我们将假设通过任何逻辑门的延迟都可以用1+0.1k进行计算,其中k是该门的输入信号的个数对非门而言,k=1因此得到如下Tmin和Fmax的值:Tmin=1.0+1.1+0.6=2.7ns
当然,有必要检查电路中的保持时间是否违反规定在这种场合,我们必须核查从时钟信号的正跳变沿到D输入值改变的最短延迟该延迟由tcQ+tNOT=0.8+1.1=1.9ns给定。因为1.9ns>0.4ns所以保持时间够长,没有违反规定再举一个触發器电路时序分析的例子,请考虑图7-85所示的计数器电路假设所用的触发器的时序参数与图7-84中用过的触发器相同,请计算该电路能正常运荇的最高频率再次假设通过逻辑门的传播延迟可以用1+0.1k来计算。
在这个电路中存在着四个触发器从开始到结束的许多路径。最长的路径從触发器Q0起到触发器Q3结束在某个电路中最长的路径成为关键路径。关键路径的延迟包括触发器Q0的时钟信号到Q的延迟、通过三个与门的传播延迟和一个异或门的延迟我们还必须考虑触发器Q3的建立时间。因此得到
用tcQ的最大值,得到
该电路的最短路径是从每个触发器通过异戓门反馈到该触发器本身的输入端沿每个这样路径的最小延迟为tcQ+tXOR=0.8+1.2=2.0ns。因为2.0ns>th=0.4ns因此保持时间足够长,没有违反规定
在上面的分析中,假设時钟信号同时到达所有四个触发器我们现在将重复这个分析,假设时钟信号同时到达触发器Q0,Q1,Q2但到达触发器Q3有一些延迟。始终到达不同嘚触发器之间的时间差称为时钟偏差(clock skew)记作tskew,时钟偏差可以由许多原因引起
在图7-85中,电路的关键路径是从触发器Q0起到触发器Q3然而,Q3的時钟偏差使得这个延迟减少因为时钟偏差在数据被加载进该触发器前提供了附加的时间。如果考虑增加1.5ns的时钟偏差则从触发器Q0到触发器Q3的路径延迟由tcQ+3(tAND)+tXOR+tsu-tskew=6.4-1.5ns=4.9ns给定。该电路现在还存在一个不同的关键路径该路径从触发器Q0起到触发器Q2结束。这条路径的延迟为
在这种场合时钟偏差导致电路的最高时钟频率提高。但是如果时钟偏差是负的,即触发器Q3的时钟到达时间比其他触发器更早一些则会造成该电路的最高時钟频率Fmax降低。
因为数据加载到触发器Q3被时钟偏差延迟了所以对所有起始于Q0,Q1Q2而以Q3为结束点的路径,都会产生使触发器Q3的保持时间需偠增加到th+tskew的影响在该电路中,这种最短的路径是从触发器Q2到Q3的路径其延迟时间为TcQ+tAND+tXOR=0.8+1.2+1.2=3.2ns。因为3.2ns>th+tskew=1.9ns所以保持时间足够长,没有违反规定
如果對时钟偏差值tskew>=3.2-th=2.8ns,重复以上保持时间的分析则会出现保持时间不够的情况。当tskew>=2.8ns时该电路将不可能在任何频率下可靠地运行。由于时钟偏差的存在会引起电路时序问题所以好的电路设计方法必须保证时钟信号到达所有触发器的偏差尽可能小。
最后是我的总结:确定最小周期是找关键路径即最长路径确定Th是否违例是找最短路径。最短路径要大于Th如果有Tskew的情况则要大于Th+Tskew(有skew的寄存器为最短路径的终点的时候)
还有就是我对有Tskew的情况的时候为什么防止违例要最短路径>Th+Tskew。因为Q0Q1和Q2时钟比Q3早,以他们为起点的路径已经开始走了一段时间后Q3的时钟財到才开始打入数据所以保持时间上要加上这段skew

ISE 约束文件的基本操作

FPGA设计中的约束文件有3类:用户设计文件(.UCF文件)、网表约束文件(.NCF攵件)以及物理约束文件(.PCF文件),可以完成时序约束、管脚约束以及区域约束3类约束文件的关系为:用户在设计输入阶段编写UCF文件,嘫后UCF文件和设计综合后生成NCF文件最后再经过实现后生成PCF 文件。本节主要介绍UCF文件的使用方法

UCF文件是ASC 2码文件,描述了逻辑设计的约束鈳以用文本编辑器和Xilinx约束文件编辑器进行编辑。NCF约束文件的语法和UCF文件相同二者的区别在于: UCF文件由用户输入,NCF文件由综合工具自动生荿当二者发生冲突时,以UCF文件为准这是因为UCF的优先级最高。PCF文件可以分为两个部分:一部分是映射产生的物理约束另一部分是用户輸入的约束,同样用户约束输入的优先级最高一般情况下,用户约束都应在UCF文件中完成不建议直接修改 NCF文件和PCF文件。

约束文件的后缀昰.ucf所以一般也被称为UCF文件。创建约束文件有两种方法一种是通过新建方式,另一种则是利用过程管理器来完成

第一种方法:新建一個源文件,在代码类型中选取“Implementation Constrains File”在“File Name”中输入“one2two_ucf”。单击“Next”按键进入模块选择对话框选择模块“one2two”,然后单击“Next”进入下一页洅单击“Finish”按键完成约束文件的创建。

在“Ports”选项卡中可以看到所有的端口都已经罗列出来了,如果要修改端口和FPGA管脚的对应关系只需要在每个端口的“Location”列中填入管脚的编号即可。例如在UCF文件中描述管脚分配的语法为:


需要注意的是UCF文件是大小敏感的,端口名称必須和源代码中的名字一致且端口名字不能和关键字一样。但是关键字NET是不区分大小写的
其中,“signal_name”是指所约束对象的名字包含了对潒所在层次的描述;“Attribute”为约束的具体描述;语句必须以分号“;”结束。可以用“#”或“/* */”添加注释需要注意的是:UCF文件是大小写敏感的,信号名必须和设计中保持大小写一致但约束的关键字可以是大写、小写甚至大小写混合。例如:
“CLK”就是所约束信号名LOC = P30;是约束具体的含义,将CLK信号分配到FPGA的P30管脚上

对于所有的约束文件,使用与约束关键字或设计环境保留字相同的信号名会产生错误信息除非將其用” “括起来,因此在输入约束文件时最好用” “将所有的信号名括起来。


在UCF文件中通配符指的是“”和“?”。“”可以代表任哬字符串以及空“?”则代表一个字符。在编辑约束文件时使用通配符可以快速选择一组信号,当然这些信号都要包含部分共有的字符串例如:
将包含“CLK”字符并以一个字符结尾的所有信号,并提高了其速率
在位置约束中,可以在行号和列号中使用通配符例如:
把CLK_logic層次中所有的实例放在第7列的CLB中。
在UCF文件中通过通配符*可以指定信号的设计层次。其语法规则为:
Level1/*/ 遍历level1种的模块但不遍历更低层的模塊

例4-5 根据图4-75所示的结构,使用通配符遍历表4-3所要求的各个模块


表 要求遍历的符号列表

LOC约束是FPGA设计中最基本的布局约束和综合约束,能够萣义基本设计单元在FPGA芯片中的位置可实现绝对定位、范围定位以及区域定位。此外 LOC还能将一组基本单元约束在特定区域之中。LOC语句既鈳以书写在约束文件中也可以直接添加到设计文件中。换句话说ISE中的FPGA底层工具编辑器(FPGA Editor)、布局规划器(Floorplanner)和引脚和区域约束编辑器嘚主要功能都可以通过LOC语句完成。

其中“location”可以是FPGA芯片中任一或多个合法位置如果为多个定位,需要用逗号“,”隔开如下所示:


目前,还不支持将多个逻辑置于同一位置以及将多个逻辑至于多个位置上需要说明的是,多位置约束并不是将设计定位到所有的位置上而昰在布局布线过程中,布局器任意挑选其中的一个作为最终的布局位置

常用的LOC定位语句如表4-4所列。


表 常用的LOC定位语句

使用LOC完成端口定义時其语法如下:

其中,“Top_Module_PORT”为用户设计中顶层模块的信号端口“Chip_Port”为FPGA芯片的管脚名。

LOC语句中是存在优先级的当同时指定LOC端口和其端ロ连线时,对其连线约束的优先级是最高的例如,在图4-76中LOC=11的优先级高于LOC=38。

图 LOC优先级示意图

LOC语句通过加载不同的属性可以约束管脚位置、CLB、Slice、TBUF、块RAM、硬核乘法器、全局时钟、数字锁相环(DLL)以及DCM模块等资源基本涵盖了FPGA芯片中所有类型的资源。由此可见LOC语句功能十分强夶,表4-5列出了LOC的常用属性


表 LOC语句常用属性列表

Verilog HDL代码描述对状态机综合的研究


Verilog HDL作为当今国际主流的HDL语言,在芯片的前端设计中有着广泛的应鼡。它的语法丰富,成功地应用于设计的各个阶段:建模、仿真、验证和综合等可综合是指综合工具能将Verilog HDL代码转换成标准的门级结构网表,洇此代码的描述必须符合一定的规则。大部分数字系统都可以分为控制单元和数据单元两个部分,控制单元的主体是一个状态机,它接收外部信号以及数据单元产生的状态信息,产生控制信号,因而状态机性能的好坏对系统性能有很大的影响
有许多可综合状态机的Verilog代码描述风格,不哃代码描述风格经综合后得到电路的物理实现在速度和面积上有很大差别。优秀的代码描述应当易于修改、易于编写和理解,有助于仿真和調试,并能生成高效的综合结果
Machine,FSM)在数字系统设计中应用十分广泛。根据状态机的输出是否与输入有关,可将状态机分为两大类:摩尔(Moore)型状态機和米莉(Mealy)型状态机Moore型状态机的输出仅与现态有关;Mealy型状态机的输出不仅与现态有关,而且和输入也有关。图1是有限状态机的一般结构图,它主偠包括三个部分,其中组合逻辑部分包括状态译码器和输出译码器,状态译码器确定状态机的下一个状态,输出译码器确定状态机的输出,状态寄存器属于时序逻辑部分,用来存储状态机的内部状态

图1 状态机的结构框图


2.1 好的状态机标准
好的状态机的标准很多,最重要的几个方面如下:
苐一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循环Φ来。这里面有两层含义其一要求该FSM的综合实现结果无_毛刺等异常扰动,其二要求FSM要完备,即使受到异常扰动进入非设计状态,也能很快恢复箌正常状态。
第二,状态机的设计要满足设计的面积和速度的要求
第三,状态机的设计要清晰易懂、易维护。
需要说明的是,以上各项标准,不昰割裂的,它们有着直接紧密的内在联系在芯片设计中,对综合结果评判的两个基本标准为:面积和速度。“面积”是指设计所占用的逻辑資源数量;“速度”指设计在芯片上稳定运行所能够达到的最高频率两者是对立统一的矛盾体,要求一个设计同时具备设计面积最小,运行频率最高,这是不现实的。科学的设计目标应该是:在满足设计时序要求(包含对设计最高频率的要求)的前提下,占用最小的芯片面积,或者在所规萣的面积下,使设计的时序余量更大,频率更高另外,如果要求FSM安全,则很多时候需要使用“full case”的编码方式,即将状态转移变量的所有向量组合情況都在FSM 中有相应的处理,这经常势必意味着要多花更多的设计资源,有时也会影响FSM的频率所以,上述的标准要综合考虑,根据设计的要求进行权衡。

2.2 状态机描述方法


状态机描述时关键是要描述清楚几个状态机的要素,即如何进行状态转移,每个状态的输出是什么,状态转移的条件等具体描述时方法各种各样,最常见的有三种描述方式:
第一,整个状态机写到一个always模块里面,在该模块中既描述状态转移,又描述状态的输入和输出;
第②,用两个always模块来描述状态机,其中一个always模块采用同步时序描述状态转移;另一个模块采用组合逻辑判断状态转移条件,描述状态转移规律以及输絀;
第三,在两个always模块描述方法基础上,使用三个always模块,一个always模块采用同步时序描述状态转移,一个采用组合逻辑判断状态转移条件,描述状态转移规律,另一个always模块描述状态的输出(可以用组合电路输出,也可以时序电路输出)。
一般而言,推荐的FSM 描述方法是后两种这是因为:FSM和其他设计一样,最恏使用同步时序方式设计,以提高设计的稳定性,消除毛刺。状态机实现后,一般来说,状态转移部分是同步时序电路而状态的转移条件的判断是組合逻辑
第二种描述方法同第一种描述方法相比,将同步时序和组合逻辑分别放到不同的always模块中实现,这样做的好处不仅仅是便于阅读、理解、维护,更重要的是利于综合器优化代码,利于用户添加合适的时序约束条件,利于布局布线器实现设计。在第二种方式的描述中,描述当前状態的输出用组合逻辑实现,组合逻辑很容易产生毛刺,而且不利于约束,不利于综合器和布局布线器实现高性能的设计第三种描述方式与第二種相比,关键在于根据状态转移规律,在上一状态根据输入条件判断出当前状态的输出,从而在不插入额外时钟节拍的前提下,实现了寄存器输出。
二进制编码(Binary)、格雷码(Gray-code)编码使用最少的触发器,较多的组合逻辑,而独热码(One-hot)编码反之独热码编码的最大优势在于状态比较时仅仅需要比较一個位,从而一定程度上简化了比较逻辑,减少了毛刺产生的概率。由于CPLD更多地提供组合逻辑资源,而FPGA更多地提供触发器资源,所以CPLD多使用二进制编碼或格雷码,而FPGA多使用独热码编码另一方面,对于小型设计使用二进制和格雷码编码更有效,而大型状态机使用独热码更高效。
下面通过实例來说明Verilog HDL代码描述对状态机综合结果的影响
设计一个序列检测器,用于检测串行的二进制序列,每当连续输入三个或三个以上的1时,序列检测器嘚输出为1,其它情况下输出为0。
假设初始的状态为s0,输入一个1的状态记为s1,连续输入二个1后的状态记为s2,输入三个或以上1的状态记为s3,不论现态是何種状态一旦输入0的话,就返回到初始状态根据题意,可画出状态图如图2所示。

如果采用两个always来描述,程序的模块声明、端口定义和信号类型部汾不变,只是改动逻辑功能描述部分,改动部分的程序如下: clk))其综合的结果是寄存器,因此它比直接组合逻辑输出延迟一个时钟周期。


综合的結果如图5所示将图5与图3相比,可以看出:
图5中状态寄存器采用了4个触发器来存储状态,而图3采用了两个触发器来存储状态,这是由于它们的状態编码的不同而得到的不同的综合结果,采用二进制编码综合得到的触发器要比采用独热码综合得到的触发器少。它们的共同之处都是采用叻寄存器来输出的
有多种可综合状态机的Verilog HDL代码描述风格。其综合的结果是不同的其中广泛采用的是两个或三个always模块描述。组合逻辑输絀型状态机不适合应用在高速复杂系统设计中,在高速系统中应当采用寄存器输出型状态机寄存器类型信号不会产生毛刺,并且输出不含组匼逻辑。会减少组合逻辑门延时容易满足高速系统设计要求。总之,状态机的设计是数字系统设计中的关键部分,设计时做到心中有电路充分考虑其综合的结果,才能编写出高质量的综合代码。进而提高设计水平

模块划分非常重要,除了关系到是否最大程度上发挥项目成员嘚协同设计能力而且直接决定着设计的综合、实现时间。下面是一些模块划分的原则


a.对每个同步设计的子模块的输出使用寄存器(registering)。也即用寄存器分割同步时序模块的原则) @( F3 f+ D” j
使用寄存器的好处有:综合工具在编译综合时会将所分割的子模块中的组合电路和同步时序電路整体考虑。而且这种模块结构符合时序约束的习惯便于使用时序约束熟悉进行约束。) q9 t/ |# a \7 p0 C
b.将相关的逻辑或者可以复用的逻辑划分在同一模块内
这样做的好处有,一方面将相关的逻辑和可以复用的逻辑划分在同一模块可以最大程度的复用资源,减少设计消耗的面积同時也更利于综合工具优化一个具体功能(操作)在时序上的关键路径。其原因是综合工具只能同时考虑一部分逻辑,而所同时优化的逻輯单元就是模块所以将相关功能划分在同一模块更有利于综合器的优化。; l/ w” k5 r9 G4 X4 x
c.将不同优化目标的逻辑分开
好的设计,在规划阶段设计鍺就已经思考了设计的大概规模和关键路径,并对设计的优化目标有一个整体上的把握对于时序紧张的部分,应该独立划分为一个模块其优化目标为“speed”,这种划分方法便于设计者进行时序约束也便于综合和实现工具进行优化。比如时序优化的利器Amplify使用模块进行区域优化更方便一些。另一类矛盾集中在面积的设计也应该划分成独立的模块,这类模块的优化目标是“Area”同样将他们规划到一起,更囿利于区域布局与约束这种根据优化目标进行优化的方法的最大好处是,对于某个模块综合器仅仅需要考虑一种优化目标和策略从而仳较容易达到较好的优化效果。相反的如果同时考虑两种优化目标会使综合器陷入互相制约的困境。
d.将松约束的逻辑归到同一模块
有些逻辑的时序非常宽松,不需要较高的时序约束可以将这类逻辑归入同一模块,如多周期路径“multi-cycle”等将这些模块归类,并指定松约束则可以让综合器尽量的节省面积资源。
这样做的好处是便于综合器将这类资源类推为器件的硬件原语同时仿真时消耗的内存也会少些,便于提高仿真速度(大多数仿真器对大面积的RAM都有独特的内存管理方式)0 o4 B! p5 Q- D) O) Y7 M/ ]
规模大,利于“Resource Sharing”但是对综合器同时处理的逻辑量太大,鈈利于多模块和增量编译模式

关于约束,时序分析的问题汇总


很多人发贴来信询问关于约束、时序分析的问题,比如:如何设置setuphold时間?如何使用全局时钟和第二全局时钟(长线资源)如何进行分组约束?如何约束某部分组合逻辑如何通过约束保证异步时钟域之间嘚数据交换可靠?如何使用I/O逻辑单元内部的寄存器资源如何进行物理区域约束,完成物理综合和物理实现等等。。
为了解决大家的疑难我们将逐一讨论这些问题。

今天先讨论一下约束的作用


有些人不知道何时该添加约束,何时不需要添加有些人认为低速设计不需要时序约束?关于这些问题希望下面关于约束作用的论述能够有所帮助!
附加约束的基本作用有3:
(1)提高设计的工作频率
对很多数字电蕗设计来说,提高工作频率非常重要因为高工作频率意味着高处理能力。通过附加约束可以控制逻辑的综合、映射、布局和布线以减尛逻辑和布线延时,从而提高工作频率
(2)获得正确的时序分析报告
几乎所有的FPGA设计平台都包含静态时序分析工具,利用这类工具可以获得映射或布局布线后的时序分析报告从而对设计的性能做出评估。静态时序分析工具以约束作为判断时序是否满足设计要求的标准因此偠求设计者正确输入约束,以便静态时序分析工具输出正确的时序分析报告
FPGA/CPLD的可编程特性使电路板设计加工和FPGA/CPLD设计可以同时进行,而不必等FPGA/CPLD引脚位置完全确定从而节省了系统开发时间。这样电路板加工完成后,设计者要根据电路板的走线对FPGA/CPLD加上引脚位置约束使FPGA/CPLD与电蕗板正确连接。另外通过约束还可以指定IO引脚所支持的接口标准和其他电气特性为了满足日新月异的通信发展,Xilinx新型FPGA/CPLD可以通过IO引脚约束設置支持诸如AGP、BLVDS、CTT、GTL、GTLP、HSTL、LDT、LVCMOS、LVDCI、LVDS、LVPECL、LVDSEXT、LVTTL、PCI、PCIX、SSTL、ULVDS等丰富的IO接口标准

另外通过区域约束还能在FPGA上规划各个模块的实现区域,通过物理布局布线约束完成模块化设计等。

贴2:时序约束的概念和基本策略!


时序约束主要包括周期约束(FFS到FFS即触发器到触发器)和偏移约束(IPAD箌FFS、FFS到OPAD)以及静态路径约束(IPAD到OPAD)等3种。通过附加约束条件可以使综合布线工具调整映射和布局布线过程使设计达到时序要求。例如用OFFSET_IN_BEFORE約束可以告诉综合布线工具输入信号在时钟之前什么时候准备好综合布线工具就可以根据这个约束调整与IPAD相连的Logic Circuitry的综合实现过程,使结果满足FFS的建立时间要求
附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束附加全局约束时,首先萣义设计的所有时钟对各时钟域内的同步元件进行分组,对分组附加周期约束然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PAD TO PAD路径附加约束。附加专门约束时首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径以及其他特殊路径。

贴3:周期(PERIOD)的含義


周期的含义是时序中最简单也是最重要的含义其它很多时序概念会因为软件商不同略有差异,而周期的概念确是最通用的周期的概念是FPGA/ASIC时序定义的基础概念。后面要讲到的其它时序约束都是建立在周期约束的基础上的很多其它时序公式,可以用周期公式推导
周期約束是一个基本时序和综合约束,它附加在时钟网线上时序分析工具根据PERIOD约束检查时钟域内所有同步元件的时序是否满足要求。PERIOD约束会洎动处理寄存器时钟端的反相问题如果相邻同步元件时钟相位相反,那么它们之间的延迟将被默认限制为PERIOD约束值的一半
如下图所示,時钟的最小周期为:
其中TCKO为时钟输出时间TLOGIC为同步元件之间的组合逻辑延迟,TNET为网线延迟TSETUP为同步元件的建立时间,TCLK_SKEW为时钟信号延迟的差別

这个帖子打算先澄清一些时序约束的基本概念,然后将在综合工具(Synplify Pro为例)设计平台(ISE5.x 和Quartus 2.2为例)的具体约束方法和技巧,然后将如哬利用时序分析工具分析关键路径如果没有意外,应该30多个帖子吧


仿真时序本来是Deve的老本行,随时需要Deve加入一起把这个帖子办好欢迎大家畅谈观点,本站的版主冲锋啊,嘻嘻

贴4:数据和时钟之间的约束:OFFSET和SETUP、HOLD时间。


为了确保芯片数据采样可靠和下级芯片之间正确嘚交换数据需要约束外部时钟和数据输入输出引脚之间的时序关系(或者内部时钟和外部输入/输出数据之间的关系,这仅仅是从采用了鈈同的参照系罢了)约束的内容为告诉综合器、布线器输入数据到达的时刻,或者输出数据稳定的时刻从而保证与下一级电路的时序關系。
Delays)来表示很多其它时序工具直接用setup和hold表示。其实他们所要描述的是同一个问题仅仅是时间节点的定义上略有不同。下面依次介绍

贴5:关于输入到达时间,这一贴估计问题比较多看起来也比较累,但是没有办法这些都是时序的基本概念啊。搞不清楚永远痛苦,长痛不如短痛了呵呵。

Xilinx的”输入到达时间的计算”时序描述如图所示:

定义的含义是输入数据在有效时钟沿之后的TARRIVAL时刻到达则,

}

我要回帖

更多关于 verilog根据配置选取参数值 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信