下了两vHDL有多个模块怎么弄因为第一次弄所以给卡刷了,这样该怎么办啊

可分解成计数模块和显示模块(兩个底层模块);最后一起构成一个顶层模块 看你上面程序第一个进程只对EN信号敏感,所以输出Y不能及时反应SEL1的变化 而如果这是顶层模塊的话输出SEL似乎对显示无作用,

你对这个回答的评价是

}

我要回帖

更多关于 vHDL有多个模块怎么弄 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信