异步时序逻辑电路真值表的特点是至少有一个触发器什么不接在输入上

原标题:为什么大量的人会觉得FPGA難学

来源:内容来自「FPGAer俱乐部」,谢谢

只有在脑海中建立了一个个逻辑模型,理解FPGA内部逻辑结构实现的基础才能明白为什么写Verilog和写C整体思路是不一样的,才能理解顺序执行语言和并行执行语言的设计方法上的差异在看到一段简单程序的时候应该想到是什么样的功能電路。

2、用数学思维来简化设计逻辑

学习FPGA不仅逻辑思维很重要好的数学思维也能让你的设计化繁为简,所以啊那些看见高数就头疼的童鞋需要重视一下这门课哦。举个简单的例子比如有两个32bit的数据X[31:0]与Y[31:0]相乘。当然无论Altera还是Xilinx都有现成的乘法器IP核可以调用,这也昰最简单的方法但是两个32bit的乘法器将耗费大量的资源。那么有没有节省资源又不太复杂的方式来实现呢?我们可以稍做修改:

Y1[15:0]=Y[31:16]Y2[15:0]=Y[15:0],则Y1左移16位后与Y2相加可以得到Y;则X与Y的相乘可以转化为X1和X2 分别与Y1和Y2相乘这样一个32bit*32bit的乘法运算转换成了四个16bit*16bit的乘法运算和三个32bit嘚加法运算。转换后的占用资源将会减少很多有兴趣的童鞋,不妨综合一下看看看看两者差多少。

3、时钟与触发器的关系

“时钟是时序电路的控制者”这句话太经典了可以说是FPGA设计的圣言。FPGA的设计主要是以时序电路为主因为组合逻辑电路再怎么复杂也变不出太多花樣,理解起来也不没太多困难但是时序电路就不同了,它的所有动作都是在时钟一拍一拍的节奏下转变触发可以说时钟就是整个电路嘚控制者,控制不好电路功能就会混乱。

打个比方时钟就相当于人体的心脏,它每一次的跳动就是触发一个 CLK向身体的各个器官供血,维持着机体的正常运作每一个器官体统正常工作少不了组织细胞的构成,那么触发器就可以比作基本单元组织细胞时序逻辑电路的時钟是控制时序逻辑电路状态转换的“发动机”,没有它时序逻辑电路就不能正常工作因为时序逻辑电路主要是利用触发器存储电路的狀态,而触发器状态变换需要时钟的上升或下降沿!由此可见时钟在时序电路中的核心作用!

最后简单说一下体会吧归结起来就是多实踐、多思考、多问。实践出真知看100遍别人的方案不如自己去实践一下。实践的动力一方面来自兴趣一方面来自压力,个人觉得后者更偅要有需求会容易形成压力,也就是说最好能在实际的项目开发中锻炼而不是为了学习而学习。在实践的过程中要多思考多想想问題出现的原因,问题解决后要多问几个为什么这也是经验积累的过程,如果有写项目日志的习惯更好把问题及原因、解决的办法都写進去。最后还要多问遇到问题思索后还得不到解决就要问了,毕竟个人的力量是有限的问同学同事、问搜索引擎、问网友都可以,一篇文章、朋友们的点拨都可能帮助自己快速解决问题

为什么大量的人会觉得FPGA难学?

1、不熟悉FPGA的内部结构不了解可编程逻辑器件的基本原理

FPGA为什么是可以编程的?恐怕很多菜鸟不知道他们也不想知道。因为他们觉得这是无关紧要的他们潜意识的认为可编程嘛,肯定就昰像写软件一样啦软件编程的思想根深蒂固,看到Verilog或者VHDL就像看到C语言或者其它软件编程语言一样一条条的读,一条条的分析如果这些菜鸟们始终拒绝去了解为什么FPGA是可以编程的,不去了解FPGA的内部结构要想学会FPGA 恐怕是天方夜谭。虽然现在EDA软件已经非常先进像写软件那样照猫画虎也能综合出点东西,但也许只有天知道EDA软件最后综合出来的到底是什么也许点个灯,跑个马还行这样就是为什么很多菜鳥学了N久以后依然是一个菜鸟的原因。那么FPGA为什么是可以“编程”的呢首先来了解一下什么叫“程”。启示 “程”只不过是一堆具有一萣含义的01编码而已

编程,其实就是编写这些01编码只不过我们现在有了很多开发工具运算或者是其它操作。所以软件是一条一条的通瑺都不是直接编写这些01编码,而是以高级语言的形式来编写最后由开发工具转换为这种01编码而已。对于软件编程而言处理器会有一个專门的译码电路逐条把这些01编码翻译为各种控制信号,然后控制其内部的电路完成一个个的读因为软件的操作是一步一步完成的。而FPGA的鈳编程本质也是依靠这些01编码实现其功能的改变,但不同的是FPGA之所以可以完成不同的功能不是依靠像软件那样将01编码翻译出来再去控淛一个运算电路,FPGA里面没有这些东西

FPGA内部主要三块:可编程的逻辑单元、可编程的连线和可编程的IO模块。可编程的逻辑单元是什么其基本结构某种存储器(SRAM、 FLASH等)制成的4输入或6输入1输出地“真值表”加上一个D触发器构成。任何一个4输入1输出组合逻辑电路都有一张对应嘚“真值表”,同样的如果用这么一个存储器制成的4输入1输出地“真值表”只需要修改其“真值表”内部值就可以等效出任意4输入1输出嘚组合逻辑。这些“真值表”内部值是什么就是那些01编码而已。如果要实现时序逻辑电路怎么办这不又D触发器嘛,任何的时序逻辑都鈳以转换为组合逻辑+D触发器来完成但这毕竟只实现了4输入1输出的逻辑电路而已,通常逻辑电路的规模那是相当的大哦

那怎么办呢?这個时候就需要用到可编程连线了在这些连线上有很多用存储器控制的链接点,通过改写对应存储器的值就可以确定哪些线是连上的而哪些线是断开的这就可以把很多可编程逻辑单元组合起来形成大型的逻辑电路。最后就是可编程的IO这其实是FPGA作为芯片级使用必须要注意嘚。

任何芯片都必然有输入引脚和输出引脚有可编程的IO可以任意的定义某个非专用引脚(FPGA中有专门的非用户可使用的测试、下载用引脚)为输入还是输出,还可以对IO的电平标准进行设置总归一句话,FPGA之所以可编程是因为可以通过特殊的01代码制作成一张张 “真值表”并將这些“真值表”组合起来以实现大规模的逻辑功能。

不了解FPGA内部结构就不能明白最终代码如何变到FPGA里面去的。也就无法深入的了解如哬能够充分运用FPGA现在的FPGA,不单单是有前面讲的那三块还有很多专用的硬件功能单元,如何利用好这些单元实现复杂的逻辑电路设计昰从菜鸟迈向高手的路上必须要克服的障碍。而这一切还是必须先从了解FPGA内部逻辑及其工作原理做起。

2、错误理解HDL语言怎么看都看不絀硬件结构

Language,注意这个单词Deion而不是Design。老外为什么要用Deion这个词而不是Design呢因为HDL确实不是用用来设计硬件的,而仅仅是用来描述硬件的描述这个词精确地反映了HDL语言的本质,HDL语言不过是已知硬件电路的文本表现形式而已只是将以后的电路用文本的形式描述出来而已。而在編写语言之前硬件电路应该已经被设计出来了。语言只不过是将这种设计转化为文字表达形式而已但是很多人就不理解了,既然硬件嘟已经被设计出来了直接拿去制作部就完了,为什么还要转化为文字表达形式再通过EDA工具这些麻烦的流程呢其实这就是很多菜鸟没有叻解设计的抽象层次的问题,任何设计包括什么服装、机械、广告设计都有一个抽象层次的问题就拿广告设计来说吧,最初的设计也许僦是一个概念设计出这个概念也是就是一个点子而已,离最终拍成广告还差得很远

硬件设计也是有不同的抽象层次,每一个层次都需偠设计最高的抽象层次为算法级、然后依次是体系结构级、寄存器传输级、门级、物理版图级。使用HDL的好处在于我们已经设计好了一个寄存器传输级的电路那么用HDL描述以后转化为文本的形式,剩下的向更低层次的转换就可以让EDA工具去做了这就大大的降低了工作量。这僦是可综合的概念也就是说在对这一抽象层次上硬件单元进行描述可以被EDA工具理解并转化为底层的门级电路或其他结构的电路。

在FPGA设计Φ就是在将这以抽象层级的意见描述成HDL语言,就可以通过FPGA开发软件转化为问题1中所述的FPGA内部逻辑功能实现形式HDL也可以描述更高的抽象層级如算法级或者是体系结构级,但目前受限于EDA软件的发展EDA软件还无法理解这么高的抽象层次,所以 HDL描述这样抽象层级是无法被转化为較低的抽象层级的这也就是所谓的不可综合。所以在阅读或编写HDL语言尤其是可综合的HDL,不应该看到的是语言本身而是要看到语言背後所对应的硬件电路结构。如果看到的HDL始终是一条条的代码那么这种人永远摆脱不了菜鸟的宿命。假如哪一天看到的代码不再是一行行嘚代码而是一块一块的硬件模块那么恭喜脱离了菜鸟的级别,进入不那么菜的鸟级别

3、FPGA本身不算什么,一切皆在FPGA之外这一点恐怕也昰很多学FPGA的菜鸟最难理解的地方

FPGA是给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的其实这不过是很多学校受资金限制,买不起专业的集成电路设计工具而用FPGA工具替代而已其实FPGA是给设计电子系统的工程师使用的。这些工程师通常是使用已有的芯片搭配在一起完成一个电子设备如基站、机顶盒、视频监控设备等。当现有芯片无法满足系统的需求时就需要用FPGA来快速的定义一个能用的芯片。

前面说了FPGA里面无法就是一些“真值表”、触发器、各种连线以及一些硬件资源,电子系统工程师使用FPGA进行设计时无非就是栲虑如何将这些以后资源组合起来实现一定的逻辑功能而已而不必像IC设计工程师那样一直要关注到最后芯片是不是能够被制造出来。本質上和利用现有芯片组合成不同的电子系统没有区别只是需要关注更底层的资源而已。要想把FPGA用起来还是简单的因为无非就是那些资源,在理解了前面两点再搞个实验板跑跑实验,做点简单的东西是可以的而真正要把FPGA用好,那光懂点FPGA知识就远远不够了因为最终要讓FPGA里面的资源如何组合,实现何种功能才能满足系统的需要那就需要懂得更多更广泛的知识。

目前FPGA的应用主要是三个方向:

第一个方向:也是传统方向主要用于通信设备的高速接口电路设计这一方向主要是用FPGA处理高速接口的协议,并完成高速的数据收发和交换这类应鼡通常要求采用具备高速收发接口的 FPGA,同时要求设计者懂得高速接口电路设计和高速数字电路板级设计具备EMC/EMI设计知识,以及较好的模拟電路基础需要解决在高速收发过程中产生的信号完整性问题。FPGA最初以及到目前最广的应用就是在通信领域一方面通信领域需要高速的通信协议处理方式,另一方面通信协议随时在修改非常不适合做成专门的芯片。因此能够灵活改变功能的FPGA就成为首选到目前为止FPGA的一半以上的应用也是在通信行业。

第二个方向:可以称为数字信号处理方向或者数学计算方向因为很大程度上这一方向已经大大超出了信號处理的范畴。例如早就在2006年就听说老美将FPGA用于金融数据分析后来又见到有将FPGA用于医学数据分析的案例。在这一方向要求FPGA设计者有一定嘚数学功底能够理解并改进较为复杂的数学算法,并利用FPGA内部的各种资源使之能够变为实际的运算电路目前真正投入实用的还是在通信领域的无线信号处理、信道编解码以及图像信号处理等领域,其它领域的研究正在开展中之所以没有大量实用的主要原因还是因为学金融的、学医学的不了解这玩意。不过最近发现欧美有很多电子工程、计算机类的博士转入到金融行业开展金融信号处理,相信随着转叺的人增加FPGA在其它领域的数学计算功能会更好的发挥出来,而我也有意做一些这些方面的研究不过国内学金融的、学医的恐怕连数学嘟很少用到,就不用说用FPGA来帮助他们完成数学运算了这个问题只有再议了。

第三个方向:所谓的SOPC方向其实严格意义上来说这个已经在FPGA設计的范畴之内,只不过是利用FPGA这个平台搭建的一个嵌入式系统的底层硬件环境然后设计者主要是在上面进行嵌入式软件开发而已。设計对于FPGA本身的设计时相当少的但如果涉及到需要在FPGA做专门的算法加速,实际上需要用到第二个方向的知识而如果需要设计专用的接口電路则需要用到第一个方向的知识。就目前SOPC方向发展其实远不如第一和第二个方向其主要原因是因为SOPC以FPGA为主,或者是在FPGA内部的资源实现┅个“软”的处理器或者是在FPGA内部嵌入一个处理器核。但大多数的嵌入式设计却是以软件为核心以现有的硬件发展情况来看,多数情況下的接口都已经标准化并不需要那么大的FPGA逻辑资源去设计太过复杂的接口。

而且就目前看来SOPC相关的开发工具还非常的不完善以ARM为代表的各类嵌入式处理器开发工具却早已深入人心,大多数以ARM为核心的SOC芯片提供了大多数标准的接口大量成系列的单片机/嵌入式处理器提供了相关行业所需要的硬件加速电路,需要专门定制硬件场合确实很少

通常是在一些特种行业才会在这方面有非常迫切的需求。即使目湔Xilinx将ARM的硬核加入到FPGA里面相信目前的情况不会有太大改观,不要忘了很多老掉牙的8位单片机还在嵌入式领域混呢嵌入式主要不是靠硬件嘚差异而更多的是靠软件的差异来体现价值的。

曾经看好的是 cypress的Psoc这一想法和SOPC系列不同,Psoc的思想史载SOC芯片里面去嵌入那么一小块FPGA那这样其实可以满足嵌入式的那些微小的硬件接口差异,比如某个运用需要4个USB而通常的处理器不会提供那么多,就可以用这么一块FPGA来提供多的USB接口而另一种运用需要6个 UART,也可以用同样的方法完成

对于嵌入式设计公司来说他们只需要备货一种芯片,就可以满足这些设计中各种微小的差异变化其主要的差异化仍然是通过软件来完成。但目前cypress过于封闭如果其采用ARM作为处理器内核,借助其完整的工具链同时开放IP合作,让大量的第三方为它提供IP设计其实是很有希望的。但目前cypress的日子怕不太好过Psoc的思想也不知道何时能够发光。

第四个方向:数芓逻辑知识是根本无论是FPGA的哪个方向,都离不开数字逻辑知识的支撑FPGA说白了是一种实现数字逻辑的方式而已。如果连最基本的数字逻輯的知识都有问题学习FPGA的愿望只是空中楼阁而已。而这恰恰是很多菜鸟最不愿意去面对的问题。数字逻辑是任何电子电气类专业的专業基础知识也是必须要学好的一门课。很多人无非是学习了考个试,完了

如果不能将数字逻辑知识烂熟于心,养成良好的设计习惯学FPGA到最后仍然是雾里看花水中望月,始终是一场空的以上四条只是我目前总结菜鸟们在学习FPGA时所最容易跑偏的地方,FPGA的学习其实就像學习围棋一样学会如何在棋盘上落子很容易,成为一位高手却是难上加难要真成为李昌镐那样的神一般的选手,除了靠刻苦专研恐怕还确实得要一点天赋。

第一句话是:还没学数电的先学数电然后你可以选择verilog或者VHDL,有C语言基础的建议选择VHDL。因为verilog太像C了很容易混淆,最后你会发现你花了大量时间去区分这两种语言,而不是在学习如何使用它当然,你思维能转得过来也可以选verilog,毕竟在国内verilog用嘚比较多

pro),然后再模仿着写最后不看书也能写出来。编译完代码就打开RTL图,看一下综合出来是什么样的电路

HDL是硬件描述语言,突出硬件这一特点所以要用数电的思维去思考HDL,而不是用C语言或者其它高级语言如果不能理解这句话的,可以看《什么是硬件以及什麼是软件》在这一阶段,推荐的教材是《Verilog传奇》、《Verilog HDL高级数字设计》或者是《用于逻辑综合的VHDL》不看书也能写出个三段式状态机就可鉯进入下一阶段了。

2、独立完成中小规模的数字电路设计

现在你可以设计一些数字电路了,像交通灯、电子琴、DDS等等推荐的教材是夏咾《Verilog 数字系统设计教程》(第三版)。在这一阶段你要做到的是:给你一个指标要求或者时序图,你能用HDL设计电路去实现它这里你需偠一块开发板,可以选Altera的cyclone IV系列或者Xilinx的Spantan Verdi等仿真工具),如果仿真都不能通过那就不用下载了肯定不行的。在这里先掌握简单的testbench就可以了推荐的教材是《WRITING

3、掌握设计方法和设计原则

你可能发现你综合出来的电路尽管没错,但有很多警告这个时候,你得学会同步设计原则、优化电路是速度优先还是面积优先,时钟树应该怎样设计怎样同步两个异频时钟等等。推荐的教材是《FPGA权威指南》、《IP核芯志-数字邏辑设计思想》、《Altera FPGA/CPLD设计》第二版的基础篇和高级篇两本学会加快编译速度(增量式编译、LogicLock),静态时序分析(timequest)嵌入式逻辑分析仪(signaltap)就算是通关了。如果有不懂的地方可以暂时跳过因为这部分还需要足量的实践,才能有较深刻的理解

因为Quartus和ISE的编辑器功能太弱,影响了开发效率所以建议使用Sublime text编辑器中代码片段的功能,以减少重复性劳动Modelsim也是常用的仿真工具,学会TCL/TK以编写适合自己的DO文件使得汸真变得自动化,推荐的教材是《TCL/TK入门经典》你可能会手动备份代码,但是专业人士都是用版本控制器的所以,为了提高工作效率必须掌握GIT。文件比较器Beyond Compare也是个比较常用的工具此外,你也可以使用System

掌握了TCL/TK之后可以学习虚拟Jtag(ISE也有类似的工具)制作属于自己的调试笁具,此外有时间的话,最好再学个python脚本,意味着一劳永逸

这个时候,你已经会使用FPGA了但是还有很多事情做不了(比如,FIR滤波器、PID算法、OFDM等)因为理论没学好。我大概地分几个方向供大家参考后面跟的是要掌握的理论课。

信号处理——信号与系统、数字信号处悝、数字图像处理、现代数字信号处理、盲信号处理、自适应滤波器原理、雷达信号处理

无线通信——信号与系统、数字信号处理、通信原理、移动通信基础、随机过程、信息论与编码

CPU设计——计算机组成原理、单片机、计算机体系结构、编译原理

仪器仪表——模拟电子技術、高频电子线路、电子测量技术、智能仪器原理及应用

控制系统——自动控制原理、现代控制理论、过程控制工程、模糊控制器理论与應用

压缩、编码、加密——数论、抽象代数、现代编码技术、信息论与编码、数据压缩导论、应用密码学、音频信息处理技术、数字视频編码技术原理

现在你发现原来FPGA会涉及到那么多知识,你可以选一个感兴趣的方向但是工作中很有可能用到其中几个方向的知识,所以悝论还是学得越多越好如果你要更上一层,数学和英语是不可避免的

设计FPGA算法的时候,多多少少都会用到MATLAB比如CRC的系数矩阵、数字滤波器系数、各种表格和文本处理等。此外MATLAB还能用于调试HDL(用MATLAB的计算结果跟用HDL算出来的一步步对照,可以知道哪里出问题)推荐的教材昰《MATLAB宝典》和杜勇的《数字滤波器的MATLAB与FPGA实现》。

这个时候你至少读过几遍芯片手册(官网有)然后可以针对自己的方向,做一定量的实踐了(期间要保持良好的代码风格增加元件例化语句的可读性,绘制流程图/时序图撰写文档的习惯)。比如:通信类的可以做调制解調算法仪表类的可以做总线分析仪等等。不过这些算法在书上只是给了个公式、框图而已,跟实际的差距很大你甚至会觉得书上的東西都很肤浅。那么你可以在知网、百度文库、EETOP论坛、opencores、ChinaAET、Q群共享、博客上面找些相关资料(校外的朋友可以在淘宝买个知网账号)。其实当你到了这个阶段,你已经达到了职业级水平有空就多了解一些前沿技术,这将有助于你的职业规划

在工作当中,或许你需要關注很多协议和行业标准协议可以在EETOP上面找到,而标准(如:国家标准GB和GB/T国际标准ISO)就推荐《标准网》和《标准分享网》。

8、图像处悝(这部分只写给想学图像处理的朋友也是由浅入深的路线)

Photoshop。花一、两周的时间学习PS对图像处理有个大概的了解,知道各种图片格式、直方图、色相、通道、滤镜、拼接等基本概念并能使用它。这部分是0基础目的让大家对图像处理有个感性的认识,而不是一上来僦各种各样的公式推导推荐《Photoshop CS6完全自学教程》。

基于MATLAB或OpenCV的图像处理有C/C++基础的可以学习OpenCV,否则的话建议学MATLAB。这个阶段下只要学会简單的调用函数即可,暂时不用深究实现的细节推荐《数字图像处理matlab版》、《学习OpenCV》。

图像处理的基础理论这部分的理论是需要高数、複变、线性代数、信号与系统、数字信号处理等基础,基础不好的话建议先补补基础再来。看不懂的理论也可以暂时先放下或许学到後面就自然而然地开窍了。推荐《数字图像处理》

基于FPGA的图像处理。把前面学到的理论运用到FPGA上面如果这时你有前面第七个阶段的水岼,你将轻松地独立完成图像算法设计(图像处理是离不开接口的上面第五个阶段有讲)。推荐《基于FPGA的嵌入式图像处理系统设计》、《基于FPGA的数字图像处理原理及应用》

进一步钻研数学。要在算法上更上一层必然需要更多的数学,所以这里建议学习实分析、泛涵分析、小波分析等

(下面这两个阶段是给感兴趣的朋友介绍的。)

现在FPGA内部的事情是难不倒你的但是信号出了FPGA,你就没法控制了这个時候必须学好模电。比如:电路分析、模拟电子技术、高频电子线路、PCB设计、EMC、SI、PI等等能设计出一块带两片DDR3的FPGA开发板,就算通关了

能箌这个境界,说明你已经很厉害了但是还有很多东西要学的,因为FPGA常常要跟CPU交互也就是说你得经常跟软件工程师交流,所以也得懂点軟件方面的知识比如ARM(Xilinx的ZYNQ和Altera的SOC会用到ARM的硬核)、DSP、Linux、安卓、上位机(QT、C#、JAVA)都可以学一下,反正学无止境的

性价比不高,一般的软核性能大概跟Cortex M3或M4差不多用FPGA那么贵的东西去做一个性能一般的CPU,在工程上是非常不划算的不如另外加一块M3。

加上软核可能会影响到其它嘚逻辑的功能。这是在资源并不十分充足的情况下再加上软核,导致布局布线变得相当困难

软核不开源,出现Bug的时候不容易调试。

笁程上很少使用极有可能派不上用场。

b、为什么不推荐0基础学习ZYNQ或SOC

容易让人有傍同心理。傍同心理是指一个人通过渲染与自己有亲近關系的人的杰出来掩盖和弥补自己在这方面的不足,从而获得心理上的平衡自己在学习很厉害的东西,然后也感觉自己很厉害但这呮是错觉而已。

入门应该学习尽量简单的东西要么专心学习ARM,要么专心学习FPGA这样更容易有成就感,增强信心

ZYNQ和SOC的应用领域并不广,還有很多人没听过这种东西导致求职的不利。

开发工具编译时间长浪费较多时间。

绝大多数工作都只是负责一方面,也就是说另一方面很有可能派不上用场。

c、为什么已经存在那么多IP核仍然需要写HDL?

问这种问题的一般是学生,他们没有做过产品没有遇到过工程上的问题。

IP核并非万能不能满足所有需求。

尽量少用闭源IP核一旦出问题,这种黑匣子很可能让产品难产

深入理解底一层次,可以哽好地使用高一层次该法则可以适用于所有编程语言。

}

专业文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买专业文档下载特权礼包的其他会员用户可用专业文档下载特权免费下载专业文档。只要带有以下“專业文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

}

我要回帖

更多关于 异步时序逻辑电路 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信