求 计算机体系结构-量化研究方法(第5版)的pdf编辑器中文版版pdf

商品编号:
该商品已下柜,非常抱歉!
计算机体系结构:量化研究方法(第5版) [美]John L.Hennessy David A.Patterson,贾洪峰
加载中,请稍候...
商品介绍加载中...
扫一扫,精彩好书免费看
服务承诺:
京东平台卖家销售并发货的商品,由平台卖家提供发票和相应的售后服务。请您放心购买!
注:因厂家会在没有任何提前通知的情况下更改产品包装、产地或者一些附件,本司不能确保客户收到的货物与商城图片、产地、附件说明完全一致。只能确保为原厂正货!并且保证与当时市场上同样主流新品一致。若本商城没有及时更新,请大家谅解!
权利声明:京东上的所有商品信息、客户评价、商品咨询、网友讨论等内容,是京东重要的经营资源,未经许可,禁止非法转载使用。
注:本站商品信息均来自于合作方,其真实性、准确性和合法性由信息拥有者(合作方)负责。本站不提供任何保证,并不承担任何法律责任。
印刷版次不同,印刷时间和版次以实物为准。
价格说明:
京东价:京东价为商品的销售价,是您最终决定是否购买商品的依据。
划线价:商品展示的划横线价格为参考价,该价格可能是品牌专柜标价、商品吊牌价或由品牌供应商提供的正品零售价(如厂商指导价、建议零售价等)或该商品在京东平台上曾经展示过的销售价;由于地区、时间的差异性和市场行情波动,品牌专柜标价、商品吊牌价等可能会与您购物时展示的不一致,该价格仅供您参考。
折扣:如无特殊说明,折扣指销售商在原价、或划线价(如品牌专柜标价、商品吊牌价、厂商指导价、厂商建议零售价)等某一价格基础上计算出的优惠比例或优惠金额;如有疑问,您可在购买前联系销售商进行咨询。
异常问题:商品促销信息以商品详情页“促销”栏中的信息为准;商品的具体售价以订单结算页价格为准;如您发现活动商品售价或促销信息有异常,建议购买前先联系销售商咨询。
加载中,请稍候...
加载中,请稍候...
加载中,请稍候...
加载中,请稍候...
加载中,请稍候...
加载中,请稍候...
加载中,请稍候...
浏览了该商品的用户还浏览了
加载中,请稍候...
iframe(src='///ns.html?id=GTM-T947SH', height='0', width='0', style='display: visibility:')帐号:密码:下次自动登录{url:/nForum/slist.json?uid=guest&root=list-section}{url:/nForum/nlist.json?uid=guest&root=list-section}
贴数:14&分页:erlv发信人: erlv (erlv), 信区: CSArch
标&&题: Re: [转载]体系结构这五年–《计算机体系结构-量化研究方法》第
发信站: 水木社区 (Wed Jan&&4 21:56:14 2012), 站内 && 你为什么会有这种感觉呢?
我觉得短期不行的原因是前车之鉴。 2001年Intel开始鼓吹安腾系列的处理器。到09年,逐步失去一些软件公司的支持,这些年的实践也说明在安腾上,短期内很难赢得市场。 估计接下来的几年,很少会有人在通用和高性能计算领域碰这个了。&& 这只是很模糊的解释,因为不了解安腾为什么出来之后,性能不好。 &&&& 【 在 tianbing1212 的大作中提到: 】
: 阿?我刚觉得VLIW短期有不错前景。。。
: 【 在 erlv (erlv) 的大作中提到: 】
: : VLIW 现在在DSP领域,应用还是很广泛的,只是通用计算领域估计短期是不行了。
: ...................
-- && ※ 来源:·水木社区 ·[FROM: 159.226.43.*]
t12发信人: t12 (t12), 信区: CSArch
标&&题: Re: [转载]体系结构这五年–《计算机体系结构-量化研究方法》第
发信站: 水木社区 (Thu Jan&&5 12:34:04 2012), 站内 && 因为我本身不是专门研究处理器的,但近一段时间,VLIW的这几个字经常出现在我的视野
里。而且都表示是最近在研的内容。 && 【 在 erlv (erlv) 的大作中提到: 】
: 你为什么会有这种感觉呢?
: 我觉得短期不行的原因是前车之鉴。 2001年Intel开始鼓吹安腾系列的处理器。到09
年,逐步失去一些软件公司的支持,这些年的实践也说明在安腾上,短期内很难赢得市
场。 估计接下来的几年,很少会有人在通用和高性能计算领域碰这个了。&& : 这只是很模糊的解释,因为不了解安腾为什么出来之后,性能不好。
&& -- && ※ 来源:·水木社区 ·[FROM: 202.96.46.*]
下雪 你那边下雪了么?发信人: kirbyzhou (下雪 你那边下雪了么?), 信区: CSArch
标&&题: Re: [转载]体系结构这五年–《计算机体系结构-量化研究方法》第
发信站: 水木社区 (Thu Jan&&5 14:53:02 2012), 站内 && 很关心啊安腾为啥性能不好
【 在 erlv (erlv) 的大作中提到: 】
: 你为什么会有这种感觉呢?
: 我觉得短期不行的原因是前车之鉴。 2001年Intel开始鼓吹安腾系列的处理器。到09年,逐步失去一些软件公司的支持,这些年的实践也说明在安腾上,短期内很难赢得市场。 估计接下来的几年,很少会有人在通用和高性能计算领域碰这个了。&&
: 这只是很模糊的解释,因为不了解安腾为什么出来之后,性能不好。
: ...................
&& -- && ※ 来源:·水木社区 newsmth.net·[FROM: 125.34.55.*]
建议刑法设立卢瑟罪和猥琐罪发信人: ruster (建议刑法设立卢瑟罪和猥琐罪), 信区: CSArch
标&&题: Re: [转载]体系结构这五年–《计算机体系结构-量化研究方法》第
发信站: 水木社区 (Thu Jan&&5 16:29:26 2012), 站内 && 主要是大部分人程序乱写吧。 && 【 在 kirbyzhou (下雪 你那边下雪了么?) 的大作中提到: 】
: 很关心啊安腾为啥性能不好
国家枪毙局执行纲要:
1.数量与质量兼顾,保证数量,尽量超额完成任务。
2.枪毙,注射和电椅,凌迟并行,发展交通肇事等新型执行手段。
3.枪毙温拿和枪毙卢瑟搭配,温拿优先。
4.子弹费五毛七分和日元均可,鼓励五毛。 &&&& ※ 来源:·水木社区 newsmth.net·[FROM: 123.91.81.*]
文章数:14&分页:
抽奖到手软!将狂欢进行到底!你的位置:
计算机体系结构--量化研究方法(中文版)
《计算机体系结构:量化研究方法》是最权威的计算机体系结构著作,是久负盛名的经典作品。书中系统地介绍了计算机系统的设计基础、指令集系统结构、流水线和指令集并行技术、层次化存储系统与存储设备、互连网络以及多处理器系统等重要内容。在这个最新版中,作者增加了当前炙手可热的云计算和手机客户端技术等相关内容,探讨了在手机、平板电脑、笔记本电脑和其他移动计算设备上云计算的软硬件实现方式。
《计算机体系结构:量化研究方法》可作为高等院校计算机专业本科生或研究生教材,也可作为从事计算机体系结构或计算机系统设计的工程技术人员的参考书。 作者:john l. hennessy 斯坦福大学校长,ieee和acm会士,美国国家工程研究院院士及美国科学艺术研究院院士。hennessy教授因为在risc技术方面做出了突出贡献而荣获2001年的eckert-mauchly奖章,他也是2001年seymour cray计算机工程奖得主,并且和david a.patterson分享了2000年约翰·冯·诺依曼奖。
david a. patterson 加州大学伯克利分校计算机科学系教授,美国国家工程研究院院士,ieee和acm会士。他因为对risc技术的贡献而荣获1995年ieee技术成就奖,而在raid技术方面的成就为他赢得了1999年ieee reynold johnson信息存储奖。2000年他和john l. hennessy分享了约翰·冯·诺依曼奖。
《计算机体系结构:量化研究方法》
第1章  量化设计与分析基础  1
1.1  引言  2
1.2  计算机的分类  4
1.2.1  个人移动设备  5
1.2.2  桌面计算  5
1.2.3  服务器  6
1.2.4  集群/仓库级计算机  6
1.2.5  嵌入式计算机  7
1.2.6  并行度与并行体系结构的分类  7
1.3  计算机体系结构的定义  8
1.3.1  指令集体系结构:计算机体系结构的近距离审视  9
1.3.2  真正的计算机体系结构:设计满足目标和功能需求的组成和硬件  12
1.4  技术趋势  13
1.4.1  性能趋势:带宽胜过延迟  15
1.4.2  晶体管性能与连线的发展  17
1.5  集成电路中的功率和能耗趋势  17
1.5.1  功率和能耗:系统观点  17
1.5.2  微处理器内部的能耗和功率  18
1.6  成本趋势  21
.1.6.1  时间、产量和大众化的影响  21
1.6.2  集成电路的成本  22
1.6.3  成本与价格  26
1.6.4  制造成本与运行成本  26
1.7  可信任度  26
1.8  性能的测量、报告和汇总  28
1.8.1  基准测试  29
1.8.2  报告性能测试结果  32
1.8.3  性能结果汇总  33
1.9  计算机设计的量化原理  34
1.9.1  充分利用并行  35
1.9.2  局域性原理  35
1.9.3  重点关注常见情形  35
1.9.4  amdahl定律  36
1.9.5  处理器性能公式  38
1.10  融会贯通:性能、价格和功耗  40
1.11  谬论与易犯错误  42
1.12  结语  46
1.13  历史回顾与参考文献  47
需要的赶紧下载哦。。当前位置: >
> 计算机系统结构:量化研究方法(第5版) John L.Hennessy 斯坦福大学校长 PDF版
计算机系统结构:量化研究方法(第5版) John L.Hennessy 斯坦福大学校长 PDF版
书籍大小:6MB
软件语言:英文软件
书籍类型:
书籍授权:免费软件
更新时间:
书籍类别:其它相关
购买链接:&&
应用平台:
网友评分:
内容介绍热点排行下载地址相关内容
内容包括326个种和品种,以“怎么办”的形式,深入浅出、简明扼要地直接进行了回答,力求做到全面性、科学性、新疑性和实用性相结合,对花卉生产者也有参考指导作主板维修从入门到精通(全彩典藏)》由资深主板维修培训师精心编写,以最新主板为基础,全面、系统、深入地讲解了主板元器件的识别和检测、主板各种单元电路的结构原理、单《浪潮之巅》 近一百多年来,总有一些公司很幸运地、有意识或无意识地站在技术革命的浪尖之上。在长达十年甚至几十年的时间里,它们代表着科技的浪潮,直到下一波浪潮的来网络存储是一个涉及计算机硬件以及网络协议技术、操作系统以及专业软件等各方面综合知识的领域。目前国内阐述网络存储的书籍少之又少,大部分是国外作品,对存储系统底层细本书主要介绍计算机单机系统的组成原理及内部工作机制,包括计算机各大部件的工作原理、逻辑实现、设计方法及其互连构成计算机整机的技术本草纲目是一部具有世界性影响的博物学著作,作者李时珍,这是它的电子版本,以《本草纲目金陵版》为基础,按药物的“正名”、“释名”、“气味”、“主治”、“附方”分为《计算机组成与体系结构:性能设计(原书第8版)》以intel x86和arm两个处理器系列为例,结合当代计算机系统性能设计问题,介绍了计算机体系结构的主流技术和最新技术哈利波特1-7中文版全集全新高清PDF版,新奇魔法世界,值得珍藏一生的经典lua中文教程,原名:programming in lua
版权声明..............i
第一篇语言.......1
第0章序言.......1
0.1 序言..........1
0.2 Lua的使老夫子漫画作品全集
计算机系统结构:量化研究方法(第5版) John L.Hennessy 斯坦福大学校长 PDF版
CopyRight &
JB51.Net , All Rights Reserved计算机体系结构量化研究方法 中文版 pdf|计算机体系结构量化研究方法第五版pdf【中文版+英文版】完整版免费下载-东坡下载
东坡下载:内容最丰富最安全的下载站!|||
→ 计算机体系结构量化研究方法第五版 pdf【中文版+英文版】完整版免费下载
计算机体系结构量化研究方法第五版介绍
计算机体系结构量化研究方法是最权威的计算机体系结构著作,在第五版中作者增加了当前炙手可热的云计算和手机客户端技术等相关内容,探讨了在手机、平板电脑、笔记本电脑和其他移动计算设备上云计算的软硬件实现方式。这里小编分享计算机体系结构量化研究方法第五版pdf【中文版+英文版】书籍,点击下面的地址即可免费下载。《计算机体系结构:量化研究方法(第5版)》可作为高等院校计算机专业本科生或研究生教材,也可作为从事计算机体系结构或计算机系统设计的工程技术人员的参考书。计算机体系结构量化研究方法第五版目录第1章 量化设计与分析基础 1.1 引言 1.2 计算机的分类 1.2.1 个人移动设备 1.2.2 桌面计算 1.2.3 服务器 1.2.4 集群/仓库级计算机 1.2.5 嵌入式计算机 1.2.6 并行度与并行体系结构的分类 1.3 计算机体系结构的定义 1.3.1 指令集体系结构:计算机体系结构的近距离审视 1.3.2 真正的计算机体系结构:设计满足目标和功能需求的组成和硬件 1.4 技术趋势 1.4.1 性能趋势:带宽胜过延迟 1.4.2 晶体管性能与连线的发展 1.5 集成电路中的功率和能耗趋势 1.5.1 功率和能耗:系统观点 1.5.2 微处理器内部的能耗和功率 1.6 成本趋势 1.6.1 时间、产量和大众化的影响 1.6.2 集成电路的成本 1.6.3 成本与价格 1.6.4 制造成本与运行成本 1.7 可信任度 1.8 性能的测量、报告和汇总 1.8.1 基准测试 1.8.2 报告性能测试结果 1.8.3 性能结果汇总 1.9 计算机设计的量化原理 1.9.1 充分利用并行 1.9.2 局域性原理 1.9.3 重点关注常见情形 1.9.4 Amdahl定律 1.9.5 处理器性能公式 1.10 融会贯通:性能、价格和功耗 1.11 谬论与易犯错误 1.12 结语 1.13 历史回顾与参考文献 第2章 存储器层次结构设计 2.1 引言 2.2 缓存性能的10种高级优化方法 2.2.1 第一种优化:小而简单的第一级缓存,用以缩短命中时间、降低功率 2.2.2 第二种优化:采用路预测以缩短命中时间 2.2.3 第三种优化:实现缓存访问的流水化,以提高缓存带宽 2.2.4 第四种优化:采用无阻塞缓存,以提高缓存带宽 2.2.5 第五种优化:采用多种缓存以提高缓存带宽 2.2.6 第六种优化:关键字优先和提前重启动以降低缺失代价 2.2.7 第七种优化:合并写缓冲区以降低缺失代价 2.2.8 第八种优化:采用编译器优化以降低缺失率 2.2.9 第九种优化:对指令和数据进行硬件预取,以降低缺失代价或缺失率 2.2.10 第十种优化:用编译器控制预取,以降低缺失代价或缺失率 2.2.11 缓存优化小结 2.3 存储器技术与优化 2.3.1 SRAM技术 2.3.2 DRAM技术 2.3.3 提高DRAM芯片内部的存储器性能 2.3.4 降低SDRAM中的功耗 2.3.5 闪存 2.3.6 提高存储器系统的可靠性 2.4 保护:虚拟存储器和虚拟机 2.4.1 通过虚拟存储器提供保护 2.4.2 通过虚拟机提供保护 2.4.3 对虚拟机监视器的要求 2.4.4 虚拟机(缺少)的指令集体系结构支持 2.4.5 虚拟机对虚拟存储器和I/O的影响 2.4.6 VMM实例:Xen虚拟机 2.5 交叉问题:存储器层次结构的设计 2.5.1 保护和指令集体系结构 2.5.2 缓存数据的一致性 2.6 融会贯通:ARM Cortex-A8和Intel Core i7中的存储器层次结构 2.6.1 ARM Cortex-A8 2.6.2 Intel Core i7 2.7 谬论与易犯错误 2.8 结语:展望 2.9 历史回顾与参考文献 第3章 指令级并行及其开发 3.1 指令级并行:概念与挑战 3.1.1 什么是指令级并行 3.1.2 数据相关与冒险 3.1.3 控制相关 3.2 揭示ILP的基本编译器技术 3.2.1 基本流水线调度和循环展开 3.2.2 循环展开与调度小结 3.3 用高级分支预测降低分支成本 3.3.1 竞赛预测器:局部预测器与全局预测器的自适应联合 3.3.2 Intel Core i7分支预测器 3.4 用动态调度克服数据冒险 3.4.1 动态调度:思想 3.4.2 使用Tomasulo算法进行动态调度 3.5 动态调度:示例和算法 3.5.1 Tomasulo算法:细节 3.5.2 Tomasulo算法:基于循环的示例 3.6 基于硬件的推测 3.7 以多发射和静态调度来开发ILP 3.8 以动态调度、多发射和推测来开发ILP 3.9 用于指令传送和推测的高级技术 3.9.1 提高指令提取带宽 3.9.2 推测:实现问题与扩展 3.10 ILP局限性的研究 3.10.1 硬件模型 3.10.2 可实现处理器上ILP的局限性 3.10.3 超越本研究的局限 3.11 交叉问题:ILP方法与存储器系统 3.11.1 硬件推测与软件推测 3.11.2 推测执行与存储器系统 3.12 多线程:开发线程级并行提高单处理器吞吐量 3.12.1 细粒度多线程在Sun T1上的效果 3.12.2 同时多线程在超标量处理器上的效果 3.13 融会贯通:Intel Core i7和ARMCortex-A8 3.13.1 ARM Cortex-A8 3.13.2 Intel Core i7 3.14 谬论与易犯错误 3.15 结语:前路何方 3.16 历史回顾与参考文献 第4章 向量、SIMD和GPU体系结构中的数据级并行 4.1 引言 4.2 向量体系结构 4.2.1 VMIPS 4.2.2 向量处理器如何工作:一个示例 4.2.3 向量执行时间 4.2.4 多条车道:每个时钟周期超过一个元素 4.2.5 向量长度寄存器:处理不等于64的循环 4.2.6 向量遮罩寄存器:处理向量循环中的IF语句 4.2.7 内存组:为向量载入/存储单元提供带宽 4.2.8 步幅:处理向量体系结构中的多维数组 4.2.9 集中-分散:在向量体系结构中处理稀疏矩阵 4.2.10 向量体系结构编程 4.3 SIMD指令集多媒体扩展 4.3.1 多媒体SIMD体系结构编程 4.3.2 Roofline可视性能模型 4.4 图形处理器 4.4.1 GPU编程 4.4.2 NVIDIA GPU计算结构 4.4.3 NVIDA GPU指令集体系结构 4.4.4 GPU中的条件分支 4.4.5 NVIDIA GPU存储器结构 4.4.6 Fermi GPU体系结构中的创新 4.4.7 向量体系结构与GPU的相似与不同 4.4.8 多媒体SIMD计算机与GPU之间的相似与不同 4.4.9 小结 4.5 检测与增强循环强并行 4.5.1 查找相关 4.5.2 消除相关计算 4.6 交叉问题 4.6.1 能耗与DLP:慢而宽与快而窄 4.6.2 分组存储器和图形存储器 4.6.3 步幅访问和TLB缺失 4.7 融会贯通:移动与服务器GPU、Tesla与Core i7 4.8 谬论与易犯错误 4.9 结语 4.10 历史回顾与参考文献 第5章 线程级并行 5.1 引言 5.1.1 多处理器体系结构:问题与方法 5.1.2 并行处理的挑战 5.2 集中式共享存储器体系结构 5.2.1 什么是多处理器缓存一致性 5.2.2 一致性的基本实现方案 5.2.3 监听一致性协议 5.2.4 基本实现技术 5.2.5 示例协议 5.2.6 基本一致性协议的扩展 5.2.7 对称共享存储器多处理器与监听协议的局限性 5.2.8 实施监听缓存一致性 5.3 对称共享存储器多处理器的性能 5.3.1 商业工作负载 5.3.2 商业工作负载的性能测量 5.3.3 多重编程和操作系统工作负载 5.3.4 多重编程和操作系统工作负载的性能 5.4 分布式共享存储器和目录式一致性 5.4.1 目录式缓存一致性协议:基础知识 5.4.2 目录式协议举例 5.5 同步:基础知识 5.5.1 基本硬件原语 5.5.2 使用一致性实现锁 5.6 存储器连贯性模型:简介 5.6.1 程序员的观点 5.6.2 宽松连贯性模型:基础知识 5.6.3 关于连贯性模型的最后说明 5.7 交叉问题 5.7.1 编译器优化与连贯性模型 5.7.2 利用推测来隐藏严格连贯性模型中的延迟 5.7.3 包含性及其实现 5.7.4 利用多重处理和多线程的性能增益 5.8 融会贯通:多核处理器及其性能 5.9 谬论与易犯错误 5.10 结语 5.11 历史回顾与参考文献 第6章 以仓库级计算机开发请求级、数据级并行 6.1 引言 6.2 仓库级计算机的编程模型与工作负载 6.3 仓库级计算机的计算机体系结构 6.3.1 存储 6.3.2 阵列交换机 6.3.3 WSC存储器层次结构 6.4 仓库级计算机的物理基础设施与成本 6.4.1 测量WSC的效率 6.4.2 WSC的成本 6.5 云计算:公用计算的回报 6.6 交叉问题 6.6.1 成为瓶颈的WSC网络 6.6.2 在服务器内部高效利用能量 6.7 融会贯通:Google仓库级计算机 6.7.1 集装箱 6.7.2 Google WSC中的冷却与供电 6.7.3 Google WSC中的服务器 6.7.4 Google WSC中的联网 6.7.5 Google WSC的监控与修复 6.7.6 小结 6.8 谬论与易犯错误 6.9 结语 6.10 历史回顾与参考文献 附录A 指令集基本原理 A.1 引言 A.2 指令集体系结构的分类 A.3 存储器寻址 A.4 操作数的类型与大小 A.5 指令集中的操作 A.6 控制流指令 A.7 指令集编码 A.8 交叉问题:编译器的角色 A.9 融会贯通:MIPS体系结构 A.10 谬论和易犯错误 A.11 结语 A.12 历史回顾与参考文献 附录B 存储器层次结构回顾 B.1 引言 B.2 缓存性能 B.3 6种基本的缓存优化 B.4 虚拟存储器 B.5 虚拟存储器的保护与示例 B.6 谬论与易犯错误 B.7 结语 B.8 历史回顾与参考文献 附录C 流水线:基础与中级概念 C.1 引言 C.2 流水化的主要阻碍――流水线冒险 C.3 如何实现流水化 C.4 妨碍流水线实现的难题 C.5 扩展MIPS流水线,以处理多周期操作 C.6 融会贯通:MIPS R4000流水线 C.7 交叉问题 C.8 谬论与易犯错误 C.9 结语 C.10 历史回顾与参考文献 参考文献 索引计算机体系结构量化研究方法第五版节选
计算机体系结构量化研究方法相关软件
电脑版相关
手机版相关
计算机体系结构量化研究方法第五版 pdf【中文版+英文版】完整版免费下载
9KB / 01-12 / doc格式【免费】
10KB / 01-12 / doc格式【免费】
11KB / 12-17 / word电子免费版
93.1M / 06-03 / V2.6 绿色中文版
499KB / 04-08 / v2.0.0.4 免费绿色版
本类软件排行
装机必备软件
素材字体高考学习}

我要回帖

更多关于 pdf编辑器中文版 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信