DSP builder12.0怎样申请药品经营许可证申请?

2170人阅读
在安装dsp_builder之前请确保已安装所需要的matlab版本
在此之前我已经安装了matlab R2011a,下面安装dsp builder
下面就是破解了,因为12.0的版本刚出,还没有相应的破解器,在多次尝试之后,我尝试用11.1的dsp_builder破解文件来破解12.0,证实可用:
解压文件后如下图示:
首先,将上面两个.dll的文件复制到dsp builder 12.0的安装目录的bin文件夹下,直接覆盖原来的两个.dll文件(C:\altera\12.0\quartus\dsp_builder\bin)
然后,合并license,用记事本打开license.dat文件,将里面全部内容复制到之前已经破解好的Quartus II的license.dat中
如下图示是Quartus II的license.dat,已经将dsp_builder的license.dat中的内容复制进去了,再将XXXXXXXXXXXX替换成网卡号,这样就将license合并好了!
保存好,完成!打开Quartus II 查看License Setup:
至此,DSP Builder 12.0安装完毕,可以使用啦!
(希望不要被告侵权什么的,哈哈)
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:193691次
积分:2997
积分:2997
排名:第4068名
原创:24篇
转载:155篇
(1)(9)(2)(17)(2)(4)(1)(12)(14)(17)(45)(37)(2)(4)(1)(1)(4)(3)(2)(1)请务必阅读正文之后的免责条款部分_馆档网
请务必阅读正文之后的免责条款部分
文档格式:PDF&&
更新时间:&&
下载次数:0&&
点击次数:1
请务必阅读正文之后的免责条款部分
政策推动行业进入上升周期
行业策略?行业研究造纸印刷行业
2008年投资策略 2007年12月
强于大市(维持)
中信证券造纸印刷行业分析师.《新财富》2007
造纸印刷行业最佳分析师.从业2年.
两大政策加速推进行业大规模整合.小企业被"节能环保"政策关停,
中型企业被《造纸产业政策》的准入条件限制发展空间,关停产生的需求
转移及每年自然增长的需求主要为大型企业瓜分.08-10年2千万吨的新
增产能很大部分落到超大型企业(现有总产能约2千万吨),实际产能扩
张空间非常大.产能进一步向大纸厂或者说上市公司集中.
行业整合带动行业全面进入上升周期.文化纸供求关系因产能关停逆转,
价格持续上涨,显著增加销售收入,大幅提升毛利率,将步入新一轮产能
扩张运动.其它纸种受文化纸吸收固定资产投资影响,产能扩张将低于消
费增长;07年上游原料价格上涨增速趋缓,下游市场随着供给增长趋缓
和需求稳步增长而改善,价格开始上涨,盈利能力提升.
三季度行业态势初步验证核心观点.三季度以来纸价总体呈现显著上升
趋势,其中文化纸表现为需求拉动型价格上涨,铜版纸等表现为成本推动
型价格上涨.1-8月行业利润同比增速大幅超越收入同比增速
(40%vs21.5%),关键因素是由行业整合引发的纸价普涨和期间费用率下
降.主要上市公司三季度的经营状况也很好的验证了核心观点.
投资策略与重点上市公司.08年行业投资策略:"把握行业整合背景下的
上升周期,充分分享行业超预期净利润增长".估值方法沿用一体化框架
下的行业估值图谱.维持能够充分分享行业超预期净利润增长的博汇纸
业,华泰股份,晨鸣纸业,银鸽投资等"买入"评级,上调景兴纸业为"买
重点公司盈利预测,估值及投资评级
简 称 股价
06 07E 08E 06 07E 08E
PB 评级目标价
博汇纸业 18.94 0.52 0.59 1.24 36x 32x 15x 2.14 买入32.24
华泰股份 25.07 0.83 1.05 1.80 30x 24x14x3.13 买入50.40
晨鸣纸业 15.01 0.44 0.48 0.72 34x 31x 21x 2.60 买入17.28
点击查看更多关于的相关文档
200511陪你去看海阳光软件园
软件列表共8页,第7页
-+电力电气、电子电路+-
~~~~~~~~~~~~~~~~~~~
Atrenta产品:
Atrenta GenSys.v5.1.1.1.Linux64 1CD
Atrenta SpyGlass.v5.1.1.1.Linux64 1DVD
Atrenta SpyGlass v4.40 Linux 1CD
Atrenta SpyGlass v4.5.1
LinuxAMD64 1CD
InnovEDA产品:
InnovEDA.E-Sim.v4.1 1CD
InnovEDA.FabFactory.7.0 1CD
InnovEDA PowerPCB Suite v4.0 1CD
InnovEDA.Visual.HDL.v6.7.8.for.Veril 1CD
InnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CD
InnovEDA.Visual.IP.v4.4.1 1CD
DownStream.Technologies产品:
BluePrint-PCB 3.6.0.758
with CAM350 10.9.0.658 1CD(自动化PCB文档制作)
FABmaster v8f2 Gold 1CD(冶具制作软件)
CAMtastic产品:
Camtastic2000 v3.03
1CD(PCB设计过程中的CAM技术)
Baas Electronics产品:
Layo1.PCB.Design.Pro.v10.0
1CD(设计和制造电子测量和控制设备等机械制图专业软件)
Gerber产品:
ACE 3000 Professional v6.20
FAB 3000 Professional v5.1.1.4 1CD
MiG GmbH & Co.产品:
WASP-NET.V5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件)
GraphiCode产品:
GC-PowerStation.v9.1.2
1CD(中、英文版,即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件)
Etap产品:
Etap.PowerStation.v11.0.0-ISO
Etap.PowerStation.v7.5-ISO 1DVD(电气专业设计、分析软件)
MemResearch产品:
Memresearch EM3DS v2008
1CD(意大利产出的电磁三维软件)
SimLab Software产品:
SimLab.Suite.2008
1CD(专门提供电缆、电缆束和电路板信号完整性(SI)、电源完整性(PI)和电磁干扰性(EMI)相关分析软件)
Sisoft产品:
Sisoft Quantum-SI 2008.10 SP4
1CD(信号完整性仿真分析)
PowerSIM产品:
PSIM Professional v9.1.1.400
& PsimBook
Win32 1CD(仿真PowerPC指令集的模拟器)
PSIM Professional v9.0.3.400 Win64 1CD
PSIM Plus v5.0 网络版 1CD
Agilent Technologies Inc.产品:
Agilent.89600.Vector.Signal.Analyzer(VSA).v12.02-ISO
1CD(频谱分析)
Agilent.Electromagnetic.Professional(EMPro).2013.07.Win32_64
1DVD(3D电磁场设计平台)
Agilent.Electromagnetic Professional(EMPro).2010.07
Linux32_64-ISO
Agilent RF Design Environment(RFDE)
2008 linux 1CD
Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06
1CD(电子仿真平台)
Agilent.Advanced.Design.System(ADS).v2014.01.Win32
& Win64-ISO 1DVD(电子设计自动化软件)
Agilent.Advanced.Design.System(ADS).v2014.01.Linux32
& Linux64-ISO 1DVD&
Agilent ADS 2005A 快速入门中文教程
Agilent ADS 中文视频教程(台湾)
Agilent ADS详尽教程
Agilent ADS中文教程
Agilent EMDS 2006B 1CD(电磁设计系统)
Agilent.GeneSpring.GX.v11.5.Win32_64
Agilent.GeneSpring.GX.v11.5.Linux32_64 2CD
Agilent.GeneSpring.GX.v11.5.MacOSX-ISO 1CD
Agilent.Genesys.v2014.04.Win64
Agilent Genesys 2012.01
1DVD(射频EDA软件)
Agilent.Genesys.v2010.05.SP1.Update.Only 1CD
Agilent.Genomic.Workbench.v5.0.14 1CD
Agilent.Genomic.Workbench.x64.v5.0.14 1CD
Agilent.Genomic.Workbench.Linux.v5.0.14 1CD
Agilent.Genomic.Workbench.MacOSX.v5.0.14 1CD
Agilent GoldenGate RFIC Simulation Software
2013.10 Linux64 1DVD
Agilent GoldenGate v4.4.9
Linux 1DVD(RTL验证标准)
Agilent Technologies SystemVue
2013.10 Win32
Agilent SystemVue 2013.08 SP1 Win64 1DVD
Agilent.IC-Cap.v2009.Win32
& Win64-ISO 1CD(集成电路表征和分析程序)
Agilent.IC-Cap.v2009.Linux32-ISO 1CD
Ommic ED02AH Libary v2.6 for ADS 2002 1CD
Simetrix Simplis v5.50
1CD(完全解密)
Simetrix Simplis manuals (用户手册)
Agilent.Testexec.SL.v5 1CD
Agilent.VEE.Pro.v9.0
1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)
Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)
Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)
Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析)
ANSOFT产品:
Ansoft HFSS v15.0 win32_64
Full-ISO 2DVD(三维结构电磁场仿真软件)
Ansoft HFSS v15.03 Updat Only Win64 1CD
Ansoft HFSS v14.0 Linux 1DVD
Ansys.HFSS.Antenna.Design. Kit.v2.1 1CD
Ansys Maxwell 3D v16.03 Win32_64-ISO
2DVD(电磁场分析软件)
Ansys Maxwell 3D v16.03
Linux 1DVD
Ansoft Designer and Nexxim v8.0
Full-ISO 1DVD(电子电磁仿真)
Ansoft Designer 7.0.1
Update Only 1CD
Ansoft Designer v9.0 HFSS 1CD
Ansoft Spiral Design Kit for Hfss v10.0 1CD
Ansoft ECAD v6.0 1CD
Ansoft Ephysics v3.1
WinALL-ISO 1CD(Maxwell的一个插件,电子电磁设计)
Ansoft Ensemble v8.0 1CD
Ansoft Links
6.0-ISO& 1CD
Ansoft Links v6.01 Update
Ansoft Links v4.0 Win64-ISO 1CD
Ansoft OpTimetrics v 2.5-ISO 1CD
Ansoft PExprt v7.0.20-ISO 1CD(全图形界面的电磁设备设计软件)
Ansys Q3DExtractor v12.0
Win32_64-ISO 2DVD
Ansoft Rmxprt v12.1-ISO
1CD(电力电子电磁器件设计、分析和优化工具)
Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)
Ansoft.Serenade.Densign.Environment.v8.71
1CD(射频微波、电路设计软件)
Ansoft.SIWave.v7.0 Win32_64-ISO
2DVD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)
Ansoft Siwave v7.02 Update Only Win64 1CD
Ansoft Simplorer v11.0-ISO
1CD(强大的多领域复杂系统仿真软件包)
Ansys.Simplorer.AK30.Library.v8.0 1CD
Ansoft Simplorer v7.0 day1 & day2
Ansoft Spicelink v5.0-ISO
1CD(通用信号完整性电磁场仿真工具)
Ansoft.Turbo.Package.Analyzer(TPA).v8.0-ISO
Ansoft Max&Eph traning-ISO 1CD(教程)
Ansoft HFSS v10 用户手册(英文)
Ansoft Hfss 中文培训教程
Ansoft Hfss v9.0 培训影像
Ansoft Hfss v9.2 完全教程
Ansoft HFSS v9使用技巧
Ansoft HFSSv9边界条件和激励设置培训
Ansoft Hfss 9.2 用户指南
Ansoft Hfss 8.0 中文培训教程
Ansoft Maxwell 2D 3D 中文使用说明
Ansoft Maxwell 官方手册(英文)
Ansoft Rmxprt application 1CD
Apache Design Solutions产品:
Apache Design Solutions RedHawk
v11.1.2 Linux64 1CD(IC功率分析解決方案)
FutureFacilities产品:
FutureFacilities.6SigmaDC.R8.0 Win32_64 1CD(致力于数据中心及电子散热相关的整体解决方案,
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&包含6SigmaRoom,6SigmaRoomLite,6SigmaRack,6SigmaET等十多个模块)
CDAJ产品:
Speed v2011.0-ISO
1CD(电机设计软件)
SPEED 2000 user Manual
COBHAM产品:
Opera v12.003
1CD(完整的电磁场3D分析软件)
SAMTECH产品:
Samcef For Wind Turbines v1.1-ISO
1DVD(风力涡轮发电机设计的专业工程软件)
JMAG产品:
Jmag Designer 12.0
Win64-ISO 1DVD
JMAG-Designer v11.0
Win32_64-ISO 2DVD
JMAG-Designer v11.0 SLS&Sample Data-ISO 1DVD
JMAG Designer v10.3.03k Win32-ISO 1DVD(马达电磁分析软件)
JMAG Designer v10.3.03k Win64-ISO 1DVD
JMAG Studio v10.02201a Win32-ISO 1DVD
Infolytica Corporation产品:
Infolytica MagNet v7.4.1.4
InfoLytica.MagNet.v7.1.1 1CD(高精度磁场解析模拟软件)
Silvaco产品:
Silvaco AMS v2010.00 Win32
Silvaco AMS 2008.09 Linux 1CD
Silvaco AMS 2008.09 Linux64 1CD
Silvaco AMS 2008.09 Solaris 1CD
Silvaco AMS 2008.09 Manual 1CD
Silvaco Iccad 2008.09 1CD
Silvaco Iccad 2008.09 Linux 1CD
Silvaco Iccad 2008.09 Linux64 1CD
Silvaco Iccad 2008.09 Solaris 1CD
Silvaco Iccad 2008.09 Manual 1CD
Silvaco Logic 2008.09 1CD
Silvaco Logic 2008.09 Linux 1CD
Silvaco Logic 2008.09 Linux64 1CD
Silvaco Logic 2008.09 Solaris 1CD
Silvaco Logic 2008.09 Manual 1CD
Silvaco TCAD 2012.00
Win32_64 1DVD
Silvaco TCAD 2010.00 Linux 1CD
Silvaco TCAD 2012 Linux64 1DVD
Silvaco TCAD 2008.09 Solaris 1CD
Silvaco TCAD 2008.09 Manual 1CD
Silvaco Catalyst 2008.09 Linux 1CD
Silvaco Catalyst 2008.09 Linux64 1CD
Silvaco Catalyst 2008.09 Solaris 1CD
Silvaco Char 2008.09 Linux 1CD
Silvaco Char 2008.09 Linux64 1CD
Silvaco Char 2008.09 Solaris 1CD
Silvaco Firebird 2008.09 Linux 1CD
Silvaco Firebird 2008.09 Linux64 1CD
Silvaco Firebird 2008.09 Solaris 1CD
Silvaco Mode 2008.09 Linux 1CD
Silvaco Mode 2008.09 Linux64 1CD
Silvaco Mode 2008.09 Solaris 1CD
Silvaco Parasitic 2008.09 Linux 1CD
Silvaco Parasitic 2008.09 Linux64 1CD
Silvaco Parasitic 2008.09 Solaris 1CD
Silvaco UT 2007.04 Linux 1CD
Silvaco UT 2007.04 Linux64 1CD
Silvaco UT 2007.04 Solaris 1CD
Silvaco VWF 2007.04 Linux 1CD
Silvaco VWF 2007.04 Linux64 1CD
Silvaco VWF 2007.04 Solaris 1CD
Parallel SmartSpice 1.9.3.E 1CD
AVANT!/SYNOPSYS产品:
Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)
Actel.Designer.v8.4.2.6.SP2-ISO 1CD
Actel.Designer.v8.3.SP1.Linux-ISO 1CD
Actel.Designer.v8.3.SP1.Solaris-ISO 1CD
Actel.Flashpro.v8.4-ISO 1CD(编程器)
Actel.Libero.IDE.Platinum.SP1.v8.6.2.10
Actel.Libero.IDE.v8.4.Windows-ISO
1DVD(FPGA产品设计)
Actel.Libero.IDE.v8.4.Linux-ISO 1DVD
Actel.CoreConsole.v1.4 1CD(IP开发平台
(IDP),有助于简化以FPGA为基础系统级应用的构建)
Spec-TRACER.2013.12.Windows
1CD(ALDEC推出需求跟踪管理工具Spec-TRACER,能对FPGA 项目的需求进行跟踪,还能分析
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
项目的每个需求是否都得到了充分地验证,大大提高了交付项目的稳定性及可靠)
Synopsys Astro vZ-2007.03 SP10 Linux 1CD
Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD
Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD
Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD
Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)
Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD
Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD
Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD
Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD
Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD
Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD
Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD
Synopsys.Aurora.vX-2006.09.Linux 1CD
Synopsys.Cadabra.vB-2008.09 Linux 1CD
Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD
Synopsys.Cadabra.vB-2008.09 Suse32 1CD
Synopsys Certify vG-2012.09
Synopsys Certify vE-2011.09 Linux 1DVD
Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux
mon.Licensing(Scl) v1.2 for WinNT 1CD
Synopsys Component vC-2009.06 SP1 Win32 1DVD
Synopsys Component vC-2009.06 SP1 Linux 1DVD
Synopsys.CoreTools.vI-2014.03.Linux32_64
Synopsys.CoreSynthesis.v2002.05 Linux 1CD
Synopsys.Cosmosscope.vH-2013.03.SP2.Windows 1CD
Synopsys CosmosScope vF-2011.09 Linux 1CD
Synopsys Cosmosscope vB-2008.09
SP1 Linux64 1CD
Synopsys CoCentric System Studio(CSS)
vE-2010.12 Linux 1DVD
Synopsys CoCentric System Studio(CSS) vE-2010.12 Msvc50 1CD
Synopsys CSS vG-2012.03 SP2 Linux 1DVD
Synopsys CSS vC-2009.03 SP1
SparcOS5 1CD
Synopsys CSS vC-2009.03 SP1
GccsparcOS5 1CD
Synopsys.Customdesigner vC-2009.06 Linux 1CD
Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD
Synopsys Customexp vG-2012.06 SP1 Linux32_64 2CD
Synopsys Customexp vG-2012.06 SP1 Win32 1CD
Synopsys.CustomExplorer.vH-2013.03.SP2.WinALL
Synopsys.CustomExplorer.vH-2013.03.SP2.Linux32_64
Synopsys DC 2000.05 WinALL 1CD
Synopsys (Design Complier) Syn
vH-2013.03 Linux32_64 2CD
Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD
Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD
Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD
Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD
Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD
Synopsys DWC DDR2 SMIC 130G33 Linux 1CD
Synopsys Design Compiler 2008.09 Linux 1CD
Synopsys.Designware.IP.v2001.08 Linux 1CD
Snopysys DesignWare System-Level Library vD-2009.12-SP2
Linux 1DVD
Snopysys DesignWare System-Level Library vD-2009.12-SP2
Linux64 1DVD
Synopsys DFT Compiler 1 v2006.06
Synopsys DSP vC-2009.03 SP1 Win32 1CD
Synopsys DSP vC-2009.03 SP1 Linux 1CD
Synopsys.ESP.vG-2012.06.Linux32_64
Synopsys.ESP.vC-2009.06 Rs6000 1CD
Synopsys ESP vC-2009.06 Sparc64 1CD
Synopsys ESP vC-2009.06 SparcOS5 1CD
Synopsys ESP vC-2009.06 SUS32 1CD
Synopsys ESP vC-2009.06 SUS64 1CD
Synopsys ESP vC-SOL32 1CD
Synopsys ESP vC-SOL64 1CD
Synopsys.FineSimPro.2012.12.SP3.Linux
Synopsys Formality.vE-2010.12 SP2 Linux 1CD
Synopsys Formality.vC-2009.06 LinuxAMD64 1CD
Synopsys Fpga Synthesis
vG-2012.09.SP1 Windows 1CD
Synopsys Fpga Synthesis vG-2012.09.SP1 Linux 1DVD
Synopsys FPGA Synthesis Products
vI-2014.03 Windows 1DVD
Synopsys FpGA Compiler II v3.8 1CD
Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD
Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD
Synopsys Hercules vB-2008.09-SP5 Linux32_64 2CD
Synphony HLS vD-CD
Synphony HLS vD-2009.12 Linux 1CD
Synopsys Hsimplus vC-2009.06
WinALL 1CD(高性能的晶体管级仿真器)
Synopsys Hsimplus vG-2012.06-SP1 Linux32_64 2DVD
Synopsys Hsimplus vC-2009.06 Sparc64 1CD
Synopsys Hsimplus vC-2009.06 SparcOS5 1CD
Synopsys Hsimplus vC-2009.06 SUS32 1CD
Synopsys Hsimplus vC-2009.06 SUS64 1CD
Synopsys Hsimplus vC-SOL32 1CD
Synopsys Hsimplus vC-SOL64 1CD
Synopsys Hspice.vG-2012.06 SP1
1CD(完全安装版,高精确度的模拟电路仿真软件)
Synopsys Hspice.vG-2012.06 SP1 Linux32_64 2CD
Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD
Synopsys Hspice vY-2006.09.SP1 Doc 1CD
Synopsys IC Compiler vH-2013.03
Linux32_64 2CD
Synopsys IC WorkBench(ICWB)vV-2004
Solaris 1CD(高速版图设计可视化和光刻分析)
Synopsys Ident vC-2009.06 SP2 Win32 1CD
Synopsys Ident vC-2009.06 SP2 Linux 1CD
Synopsys Identify vH-2012.12 Win32 1DVD
Synopsys Identify vH-2012.12 Linux 2DD
Synopsys IDQ vC-2009.06 SP3 Linux 1CD
Synopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CD
Synopsys IDQ vC-2009.06 SP1 SUS32 1CD
Synopsys IDQ vC-2009.06 SP1 SUS64 1CD
Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD
Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD
Synopsys Innovator v2009.12 SP1 Win32 1CD
Synopsys ISE TCAD v10.0
Linux 2CD(半导体元件制造与模拟软件)
Synopsys Jupiter vY-2006.06 SP1
Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD
Synopsys LEDA vH-2013.03
Synopsys LEDA vB-2008.06 LinuxAMD64 1CD
Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD
Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD
Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD
Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD
Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD
Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD
Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD
Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD
Synopsys NCX vE-2010.12 SP3 Linux64 1CD
Synopsys Magellan vB-2008.09
Linux 1DVD
Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD
Synopsys.Mempro.v2001.11.For.NT 1CD
Synopsys Milkyway vG-2012.06 SP3
Linux32_64 2CD
Synopsys.MW.vH-2013.03.Linux32_64 2CD
Synopsys NanoSim tool vC-2009.06 Linux 1CD
Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD
Synopsys.NanoSim.vB-2008.09.Sparc64 1CD
Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD
Synopsys MVtools vH-2013.06 Linux32_64 2CD
Synopsys Ncx vB-2008.12
Synopsys NS Hsim XA vD-2010.03 Linux 1DVD
Synopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVD
Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD
Synopsys NS Hsim XA vC-2009.06 SUS32 1CD
Synopsys NS Hsim XA vC-2009.06 SUS64 1CD
Synopsys NS Hsim XA vC-SOL32 1CD
Synopsys NS Hsim XA vC-SOL64 1CD
Synopsys NS Hsim XA vC-2009.06Sparc64 1CD
Synopsys.NT.vC-2009.06.Linux 1CD
Synopsys PCI-X v2.0 1CD
Synopsys PP vV-2003.12 SP1 Linux 1CD
Synopsys.PrimePower vY-2006.06 Linux 1CD
Synopsys Primerail vA-2008.12.SP1 Linux 1CD
Synopsys primerail vD-2010.06 SP1 LinuxIA32 1CD
Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD
Synopsys PrimeTime
for winNT 1CD(静态时序分析工具)
Synopsys Primetime vD-2009.12
SP3 Linux 1CD
Synopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CD
Synopsys.PTS.vH-2013.06.Linux32_64
Synopsys PWA tool vD-2009.12 Win32 1CD
Synopsys PWA tool vD-2009.12 Linux64 1CD
Synopsys Ranxt vD-2009.12 SP3 Linux32_64 2CD
Synopsys Ranxt vC-2009.06 SP1 Sparc64 1CD
Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD
Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD
Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD
Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD
Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD
Synopsys Saber vI-2013.12
Linux 1DVD
Synopsys Saber vI-2013.12
Windows 1DVD
Synopsys Saber vE-2011.03
WinALL 2DVD(不同的工程领域--水利、电气、电子及机械等进行
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& 物理作用仿真的软件,也可作为信号流算法软件)
Synopsys SaberRD vD-2011.03.Win32
Synopsys SaberHDL Y-2006.06
WinALL 1CD
Synosys.Sentaurus.vG-2012.06.SP2.Linux
Synosys.Sentaurus.vH-2013.03.Linux64
Synopsys Simif vC-2009.09.SP1. Linux 1CD
Synopsys Simif vB-2008.09 Sparc64 1CD
Synopsys Simif vB-2008.09 SparcOS5 1CD
Synopsys SmartModel Library v2009.06a Linux 1CD
Synopsys SmartModel Library v2009.06a Linux64 1CD
Synopsys.Sold.v2009.03.Linux 1CD
Synopsys SPW vE-2010.12
Synopsys SPW vE-2010.12
Synopsys ssd vA-2007.09
Linux 1DVD
Synopsys.Starrc.vH-2012.12.SP2.Linux32_64 2CD
Synopsys StarRCXT vD-2009.12 LinuxAMD64 1CD
Synopsys Spice Explorer 2012.06.SP1.WinALL 1CD
Synopsys.SpiceExplorer.vG-2012.06.SP1.Linux32_64 2CD
Synopsys Synthesis Tools tool vD-2010.03 Linux 1CD
Synopsys Synthesis Tools tool vD-2010.03 LinuxAMD64 1CD
Synopsys TCAD Sentaurus
vD-2010.03.Linux 1DVD
Synopsys TCAD Sentaurus
vI-2013.13 LinuxAMD64 1DVD
Synopsys Tcad Taurus Medici vD-2010.03 Linux 1CD
Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD
Synopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CD
Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD
Synopsys TX vC-2010.03 SP2 Linux 1CD
Synopsys TX vC-2009.06 SP3 LinuxAMD64 1CD
Synopsys TX vC-2009.06 SP1 SUS32 1CD
Synopsys TX vC-2009.06 SP1 SUS64 1CD
Synopsys TX vC-2009.06 SP1 x86SOL32 1CD
Synopsys TX vC-2009.06 SP1 x86SOL64 1CD
Synopsys TXS vC-2009.06 SP3 Linux 1CD
Synopsys TXS vC-2009.06 SP3 LinuxAMD64 1CD
Synopsys TXS vC-2009.06 SP1 SUS32 1CD
Synopsys TXS vC-2009.06 SP1 SUS64 1CD
Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD
Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD
Synthesis Tools tool vZ-2007.03
SP1 Linux 1CD
Sentaurus vX-2005.10 SP1
Hspice 2005.09 英文用户手册
Hspice 语法手册
Synopsys Star-HSpice v2006 03 SP1
1CD(电路仿真软件)
Synopsys.Star-Rcxt vB-2008.12 SP2
Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD
synopsys.Vera.vI-2014.03.Linux32_64
Synopsys Vera vD-2009.12 Linux32_64 2CD
Synopsys Vera v6.3.10 solaris 1CD
Synopsys.VCS.v6.0.1.WinNT_2k
Synopsys.VCS.vI-.Linux64 1CD
Synopsys VCS vG-2012.09 Linux32_64 2CD
Synopsys VCS Verification IP 2012.12 Linux 1CD
Synopsys VCS-MX.vH-2014.03.Linux32_64
Synopsys.2001.08.Core.Synthesis.for.linux 1CD&
Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)
SynpliCity Identify RTL Debugger v2.0.1 1CD&
Synopsys Synplify Pro vH-2013.03
Window 1DVD
Synopsys Synplify vF-2012.03 Linux32_64 2DVD
Synplify Fpga vF-2010.09 Linux 2CD
Synplify DSP v3.6 1CD
Synplify.Premier.v9.61
Synplify.Premier.v9.6.2.with.Identify.v3.02
1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&& &度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)
Synplify Pro v9.2.2 Linux 1CD
Synplify v8.5 with Identify v2.3.2 Linux 1CD
Synplify ASIC v5.02 for win & linux & sun & unix 1CD
Taurus Medici vV-2003.12 linux 1CD
Virtio VPAI 2.0 Platform
Bosch Rexroth Group产品:
Bosch.Rexroth.Indraworks.v7.04-ISO
3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)
Bosch.Rexroth.WinStudio.v6.5.WinNT_2K
1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA)
Intercept产品:
Intercept Pantheon 6.0.04B
Win32 1CD(PCB/Hybrid/RF设计辅助软件)
Intercept Pantheon 6.0.04B Linux 1CD
Intercept Pantheon 6.0.04B Solars 1CD
SANDWORK DESIGN INC.产品:
Design Spice Explorer v2007.1
Design Spice Explorer v2003.1
Tanner产品:
Tanner.L-EDIT.pro.with.LVS.v10.0-ISO&
1CD(IC设计验证系统软件)
Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)
Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)
Tanner EDA Tools v16.01
Win64 1DVD
Tanner Tools v16.0 Win64
Tanner Tools v15.01 1CD(集成电路设计环境)
AMTECH产品:
Amtech.v2006-ISO
1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)
Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)
CIM-TEAM Inc.产品:
CIM-Team DDS-C R12
1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)
VANDERPLAATS R&D产品:
Valor Genesis v9.7 1CD
Valor Genesis v9.2c 1CD
Valor Genesis2000 v8.0a
WinNT4_2K 1CD
Valor GeneSIS 2000 中文教程
Valor Enterprise 3000 v7.2.4
1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)
Valor EnterPrise .4 Docs Addon 1CD
CADENAS产品:
Cadence.ADW.v16.60.015.Linux
Cadence Altos v12.12.000
Cadence.AMS.Methodology.Kit.6.12.Linux
Cadence ANLS v07.10.003 Linux
Cadence ASI v16.60.004
Win32_64 1DVD
Cadence ASI 16.63.000
Update Only Win32_64 2DVD
Cadence.ASI.v16.62.000.Update.Only.Linux
Cadence ASI 16.62 Update
Only Win64 1DVD
Cadence ASI v16.61 Update
Only Win32_64 2DVD
Cadence ASSURA v6.15.04.12.017
Linux 2DVD
Cadence.Assura v4.10.002 Linux
Cadence Assura v4.10.006 Update Linux 3CD
Cadence Assura v4.12.004.615 Update Linux 4CD
Cadence Assura v3.13 for
IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)
Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO
5CD(电子电路设计软件)
Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.001 Update Only
Cadence SPB/OrCAD (Allegro SPB)
v16.30.019 Linux 3CD
Cadence OrCAD Capture CIS 9 实用教程 1CD
Cadence OrCAD
Cadence.Allegro.PCB.Design.v16.2-ISO
3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)
Cadence.Allegro.PCB.v16.20.014
Update Only 1CD
Cadence.BSIMProPlus.v5.1
1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取
&&&&&&&&&&&&&&&&&&&&&&&&&&&&
和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)
Cadence C-to-Silicon Compiler (CtoS) Product
v11.10 Linux 2CD
Cadence Ccopt 2012 Linux 1CD
Cadence.CICE.v05.01.000.Linux
Cadence Conformal v11.10.320
Cadence Conformal v8.1 Linux64 1CD
Cadense Conformal LEC v10.1 Linux 1CD
Cadence CTOS v13.20.200 Linux 1CD
Cadence.CTS v9.1 Linux 1CD
Cadence.EDI-ISR3.v13.23.000.Linux
Cadence EDI v13.12.000
Linux 1DVD
Cadence EDI v12.0 Linux 6CD(即SOC-Encounter,完整的综合布局布线系统)
Cadence EMGR v08.02.001
Cadence Encounter RTL Compiler
v9.10.100 Linux 1CD
Cadence Encounter timing system(ETS)
v11.11.001 Linux
Cadence Encounter Test(ET)
v13.10.100 Linux 1DVD
Cadence.EXT.vv13.20.157.Linux 1CD
Cadence Kitsocv v08.20.003 Linux 3CD
Cadence KMC v04.14.000 Linux 1CD
Cadence KQV v05.13.002 Linux 1CD
Cadence PAS v3.1 Linux
1CD(PDK自动化系统)
Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)
Cadence PVE v12.10.488
Linux 1DVD
Cadence PVS v10.12.155
Linux 1DVD
Cadence Physical Verification System(PVS)
v10.1 Linux 1CD
Cadence Physical Verification System(PVS)
v10.12.155 Update Only Linux 1DVD
Cadence SOCKIT v08.02.001 Linux 1CD
Cadence.RC.v12.22.000.Linux
Cadence RFKIT v8.1 Linux
Cadence RFSIPKT v07.02.001 Linux 1CD
Cadence.SPB.v16.3.Linux
Cadence SPMN v08.02.001 Linux 1CD
Cadence TSI v6.1 Linux 2CD
Cadence.TTI.v01.30.001.Linux
Cadence MMSIM v13.1 Linux
Cadence MMSIM v12.10.317
Cadence MMSIM v11.10.445
Linux 2DVD
Cadence MMSIM v10.11.017
Update Linux 1DVD
Cadence MMSim v10.10.204
Cadence MMsim v7.11.071 Linux
Cadence MMsim v6.2 linux
Cadence MVS v12.11.465 Linux 1DVD
Cadence NEOCKT-03.04.011 Linux 1CD
Cadence IC Craftsman v11.241
Cadence IC Design Virtuoso v6.15
UofU.Digital.v1.2 for Cadence IC v5 (CDB) 1CD
UofU.Digital.v1.2 for
Cadence IC v6 (OA) 1CD
Cadence IC5141 ISR Linux 4CD(IC5141最新升级文件)
Cadence.ICADV.v12.10.020.Linux
Cadence IFV v8.20.012 Linux 2CD
Cadence INCISIV v13.20.002
Linux 1DVD
Cadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CD
Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD
Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO
1CD(逻辑设计与验证)
Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux
Cadence Low Power Methodology Kit v08.02.001 Linux 3CD
Cadence iScape v4.21 Linux 1CD
Cadence IUS v5.4 Win32-ISO 1CD
Cadence Incisive Unified Simulator(IUS)
v10.2 Linux
Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期,
显著提高生产效率的特点)
Cadence SEV v4.1 Linux
Cadence SPW v4.9 Linux 1CD
Cadence.SSV-ISR3.v13.23.000.Linux
Cadence.SWI.v13.10.001.Linux
Cadence VSDE v4.1 ISR17 Linux 1CD
Cadence Generic PDK090 v3.7 Linux 1CD
Cadence Generic PDK
Cadence CONFRML v13.10.100 Linux 1CD
Cadence.VG.GNU.PACKAGE.2012.09.Linux 1DVD
Cadence VIPCAT v11.30.021 Linux 2DVD
Cadence ZYNQVP v11.10.055
Cadence.IC设计.全资料教材 1CD
Allegro 14.2 中文教材
Allegro 15.X学习与使用(中文)
Cadence Allegro简易手册(中文版)
Cadence 使用参考手册(中文版)
CADence PCB设计中文教程
ULTRA Librarian Gold v3.0.1034 1CD(程序库设计工具)
Conformal Constraint Designer
v6.1 1CD(在给定设计问题下确保有效时序约束的产品)
Cadence.Specctra.Router.v10.2
1CD(功能强大的PCB无网格自动布线器)
Cadence.Specman.Elite.v5.0.Linux-ISO
SpringSoft产品:
Laker 2012.07 Linux32_64 &
SOL7 & SOLARIS2 & Symbol 1DVD
Laker v2011.06 Linux32 1CD
Laker v2011.06 Linux64 1CD
LinuxAMD64 1CD
Laker 32 v3 REDHAT9 1CD
Laker 31 v3p6a REDHAT72 1CD
Laker 32 v3p6 SOL7 1CD
Laker 32 v3p6 SOLARIS2 1CD
Symbol 1CD
Document 1CD
Laker 32 v3p6 LabBook
Laker Document 1CD(适用3.0版和更高版的用户手册)
Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)
Laker AMS v6.1p4 Linux
ADP 2012.07 Linux32_64 &
SOL7 & SOLARIS2 & Symbol & Xlib 1CD
ADP 21 v3p5 Linux 1CD
ADP 21 v3p5 LinuxAMD64 1CD
ADP 21 v3p5 REDHAT9 1CD
ADP 21 v3p5 SOL7 1CD
ADP 21 v3p5 SOLARIS2 1CD
ADP 21 v3p5 symbol 1CD
ADP 21 v3p5 Document 1CD
Verdi3 2012.10 Linux32_64
Intusoft产品:
ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)
Intusoft Magnetics Designer
v4.1.0 Build 350 1CD
Aegis产品:
Aegis.CircuitCAM.Suite.v6.0.2.2&1CD(生成设备程式、建模软件)
BoardMaster LPKF v5.1 Full
LPKF CircuitCAM 6.1.5 build 1159
1CD(一个高端成熟的电路板生产CAM软件)
Circuitcam v5.0使用手册(中文)
Aucotec产品:
Aucotec ELCAD
Multilingual-ISO
1CD(ECAE系统,电子工程软件)
Altium产品:
Altium Designer v15.0.7 build
36915 Windows-ISO 1DVD
Altium Designer 14.3.15 Build
35511 Multilingual-ISO 1DVD(电子产品开发系统)
Altium CERN Library 2014
1CD(电气元件库)
Altium Designer v10.818.23272
with All Plugins, Examples, Libraries 1DVD(9.61 G)
Altium Designer Winter 10
v10.600.22648 Win64-ISO 2DVD(电子产品开发系统)
Altium Protel DXP v7.2.92.With.SP3
WinNT-ISO 1CD
Protel DXP
Altium v7.0 WinNT_2000_XP-ISO& 1CD
Protel Dxp 2004 sp2-ISO(
完全安装版)
Protel DXP 2004 Sp4 1CD
Protel DXP 2004 Sp4 IntegratedLibraries 1CD
Protel DXP 2004 Sp3 集成库
Protel DXP 2004汉化及工具
Protel 98-ISO 1CD (简体中文破解版)
Protel 99SE Sp6 1CD(简体中文版,含第二版)
Protel 99 正式版
Protel 99 SE 的入门说明书(中文版)
Protel DXP Fpgalibraries
Protel DXP Trial Version
Protel DXP 电路设计及应用教程
Protel DXP 培训教材(中文)
P-CAD v2006.With.SP1-ISO
1CD(印制线路板设计软件)
P-CAD v2006.SP2 1CD
Simetrx/Simpis v4.2-ISO
1CD(混合信号电路仿真软件)
InduSoft Web Studio v7.1 SP3
1DVD(功能强大的自动化整合开发工具)
Tasking C166/ST10 v7.5 r2-ISO
1CD(嵌入式软件开发工具套件)
Tasking C166 v7.5 r2 1CD
Tasking C FOR 196_296 v6.0 R1
Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD
FAMIC TECH INC产品:
Automation Studio v5.0
1CD(电路设计、模拟和项目文件软件)
EMCoS 2013 EM Simulation
Suite 1DVD
&&&& include:
&&&&&&&&&&&
EMCoS Antenna VirtualLab 1.0
&&&&&&&&&&&
EMCoS PCB VLab 1.0
&&&&&&&&&&&
EMC Studio 7.0
EMCoS Antenna VLab 1.01
EMC Studio v7.0 1CD(电磁兼容分析软件)
NEC EMIStream v4.5001 1CD(EMC防真软件)
EM.Cube 2013.Win32_64 2CD
Remcom, Inc.产品:
XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP
1CD(高频电磁分析模拟软体)
XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)
XFDTD v7.3.0.3 Win64 1CD
XGTD v2.1 1CD(电磁仿真和分析软件)
Remcom XFDTD XF7 7.3.0.3
CST.Studio.Suite.v2014.Win32_64-ISO 1DVD
CST.Studio.Suite.v2014.SP5.Update.Only
CST.Studio.Suite.v2012.With.SP5.WinALL
CST Studio Suite 2012 SP8
Update Only 1CD
CST Studio Suite 2012 SP7
Update Only 1CD
CST Studio Suite 2012 SP6
Update Only 1CD
CST.Studio.Suite.v2008.Linux.DVD-ISO
1DVD(完整版-全模块电磁仿真软件)
CST Microwave Studio v5.1.3-ISO
1CD(电磁仿真)
CST Design
Studio v3.0 1CD(与CST
Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具
&&&&&&&&&&&&&&&&&&&&&&&&&&
交换数据以及分割设计数据和程序库化等作业)
CST.MicroStripes.
CST.MicroStripes..x64 1CD
CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)
APLAC SOLUTIONS产品:
PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件)&
Pcschematic.Automation.v14.0.2.1.Win32_64
1CD(专业电气绘图软件)
PCschematic ELautomation v9.0.6 正式免狗中文版
1CD(带正版数据库压缩包)
PCschematic ELautomation v9.0 1CD(英文版)
PCschematic (施耐德)元件库
PCschematic 完整教程
PL7 Pro v4.4 1CD
Schneider Electric Vijeo Citect
v7.40 SP1 1DVD
Schneider-Electric.Unity.Pro.XL.v7.0-ISO 1DVD(含简体中文版)
Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)
IAR EWAVR v5.3.02-ISO 1CD
IAR.Embedded.Workbench.for..3.Full 1CD
IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)
IAR.EW430.320A 1CD(嵌入式工作台)
IAR.Embedded.Workbench.for.68HC12.v2.44A
IAR Embedded Workbench for ARM 6.50.3-ISO 1DVD
IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1
IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO
IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full
IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO
IAR Embedded Workbench for AVR v6.12.1.Full 1CD
IAR Embedded Workbench for AVR32 v3.31.3 1CD
IAR.Embedded.Workbench.for.CR16C.v2.10A
IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C
IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)
IAR Embedded Workbench for Freescale Coldfire v1.23.1
IAR.Embedded.Workbench.for.Freescale.HCS12.v3.20.2 1CD
IAR Embedded Workbench for Freescale HCS08 v1.20.2 1CD
IAR.Embedded.Workbench.for.H8.v1.53I
IAR.Embedded.Workbench.for.MAXQ.v2.30.1
IAR.Embedded.Workbench.for.MCS-51.v7.21A
IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A
IAR.Embedded.Workbench.for.MK5.v1.24A
IAR.Embedded.Workbench.for.MSP430.v5.10
IAR.Embedded.Workbench.for.NEC.v850.v3.30
IAR.Embedded.Workbench.for.NEC.78K.v4.40A
IAR Embedded Workbench for National Semiconductor CR16C
v3.10.1 1CD
IAR Embedded Workbench for Microchip PIC18 v3.10
IAR.Embedded.Workbench.for.PICmicro.v2.21A
IAR Embedded Workbench for Renesas 32C v3.30.1 1CD
IAR Embedded Workbench for Renesas 78K v4.71.2 1CD
IAR.Embedded.Workbench.for.Renesas.H8.v2.10A
IAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.6 1CD
IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL
IAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CD
IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL
IAR Embedded Workbench for Renesas R32C v1.31.1 1CD
IAR Embedded Workbench for Renesas RX v2.30.2 1CD
IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL
IAR Embedded Workbench for STMicroelectronics STM8
1.40.1 1CD
IAR Embedded Workbench for Renesas V850 v3.71.1 1CD
IAR Embedded Workbench for STM8 v1.30.1 1CD
IAR.Embedded.Workbench.for.TI.MSP430.v3.41A&
IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL
IAR Embedded Workbench for ZiLOG eZ80 1.34A
1CD(C/C++编译器和调试器)
IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full
IAR Embedded Workbench Limited Edition for 6502
IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL
IAR.Embedded.Workbench.AVR.v2.27B
IAR.PowerPac.for.ARM.v2.32.2
1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统)&
IAR PowerPac Base for ARM v2.40.2-ISO 1CD
IAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CD
IAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CD
IAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CD
IAR PowerPac USB Device for ARM v2.40.2-ISO 1CD
IAR PowerPac USB Host for ARM v2.40.2-ISO 1CD
IAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CD
IAR VisualState v6.3.2 1CD(图形化状态机设计工具,
它能为嵌入式系统产生非常紧凑的c代码)
IAR中文使用手册
FlowCode for PIC v4.3.6.61 1CD
Flowcode.for.ARM.v4.3.8.64
Flowcode for AVR v4.3.6.61 1CD
Renesas.Nc30WA.v5.30.R02.Final
Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD
Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD
Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD
Rowley.Associates.CrossWorks.for.MSP430.v2.0
NASSDA CORP.产品:
Nassda.Critic.v5.0.01.2005
1CD(一款EDA工具,这是Windows版本)
Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)
Nassda.Hanex.v5.0.01.2005
1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)
Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)
Nassda.Hsim.v5.0.01.2005
1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)
Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)
TimingDesigner.v9.2 1CD(时域分析和图示工具)
TimingDesigner.v9.2 Linux 1CD
TimingDesigner.v9.2 Solaris 1CD
Precience产品:
PCB Navigator 5.1 1CD
SCHMID & PARTNER ENGINEERING AG产品:
SemCAD v13.4
1CD(SEMCAD&为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS&研究提供支持和帮助,
&&&&&&&&&&&&&&&&同时可帮助在芯片级的EMC/EMI和EM&模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)
Syncopation.Software产品:
DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,
&&&&&&&&&&&&&&&&&&&&&&&&&&& 卫星发射装置的可靠性分析, 计算机网络的安全性分析等)
DPL.Professional.v6.03.02
1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等)
Telemagic.AB产品:
Telelogic.Rhapsody.v8.04.Win32_64
Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真开发)
Telelogic.Rhapsody.v7.2.Linux-ISO 1CD
Telelogic.Rhapsody.v7.2.Documentation-ISO1CD
Telelogic.Doors.v7.1 1CD(需求管理软件)
Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CD
Telelogic.Rhapsody.Cygwin.Adapter.v7.0 1CD
Telelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CD
Telelogic.Rhapsody.Integrity.Adapter.v7.0 1CD
Telelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CD
Telelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0
Telelogic.Rhapsody.Reporter.Plus.v7.0 1CD
Telelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CD
Telelogic.Rhapsody.VxWorks.Adapter.v7.0 1CD
Sodius.Rhapsody.RulesComposer.v7.0.24 1CD
Telelogic.TAU.Generation2.v2.4-ISO
1CD(可视化系统、软件开发和测试工具环境)
Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CD
Telelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CD
OSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0
OSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CD
Sodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CD
I-Logix.Statemate.v4.1-ISO
1CD(面向功能需求的系统级自动设计软件包)&&&&&
VisSim.v7.0B
1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)
VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的
C 语言标准的程序源代码)
m.v6.0A 1CD(卫星、终端等的通信分析软件)
VisSim.Embedded.Controls.Developer.v6.0
1CD(为速成原型法和内嵌控制系统提供一个开发环境)
VisSim.ECD.for.TI.Ce.Win9xNT2K 1CD(用于TI
C2000系列DSP快速样机开发,它可以为TI公司的DSP
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
家族中的C2000系列快速开发运动控制系统板的样机原型)
VisSim.Neural-Net.v6.0
1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)
VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接
VisSim 系统模型)
Celoxica产品:
piler.v1.3
1CD(Agility C编译器)
piler.v1.3.Linux.Debian 1CD
Celoxica.DK.Design.Suite.and.PDK.v5.0.SP5
1CD(高阶设计方法)
Concent Engineering产品:
SpiceVision Pro v2.3.6
1CD(很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)
SpiceVision v2.1 WinALL
Spice Vision 2.1 Linux 1CD
单片机软件:
Dolphin.Solutions..Windows
Dolphin.Integration.Smash.v6.10
1CD(混合信号兼顾多层次模拟软件,能完全符合混合类比与逻辑信号电路的需求)
Dolphin.Integration.SMASH.v5.17.0.Linux 1CD
Dolphin.Smash.v5.12.2.Solaris 1CD
Dolphin.Integration.SoC.GDS.v6.10.0
片上系统图形显示工具)
Soc.GDS v6.30 for Linux 1CD
Dolphin.SoC.GDS.v6.30.LINUX.x64 1CD
Dolphin.SoC.GDS.v6.30.Solaris 1CD
Dolphin.SoC.GDS.v6.30.Solaris64 1CD
Soc.GDS v5.6 for HP-UX 1CD
panion.v2.7.R1
1CD(用来获得对你的HDL设计的一个很好的总揽,并将其保持的一个独一无二的工具)
panion.v2.7.R1.Linux 1CD
HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Linux 1CD
HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Windows
HDL.Design.Entry.EASE.v8.1.R6
for Windows
1CD(集成电路芯片设计工具)
HDL.Design.Entry.EASE.v8.1.R6.for.Linux 1CD
HDL.Entry.Ease.v6.0.R11.SOLARIS 1CD
HDL.Works.IO.Checker.v3.0.R3.for.Windows
HDL.Works.IO.Checker.v3.0.R3.for.Linux64 1CD
HDL.Works.IO.Checker.v2.2.R5.for.Linux 1CD
TransLogic
HDL ComPanion v1.2 R2 Solaris 1CD
Translogic HDL Entry Ease and Eale v5.1R9 1CD
Translogic HDL Entry Ease and Eale v4.1.7 Linux 1CD
Translogic Ease v5.2 R10 and Eale v5.2 R8 1CD
Aldec Active-HDL v9.3 1CD(ALDEC公司的Active-HDL是一个开放型的仿真工具)
Aldec.Active.HDL.v6.3.VERILOG.Libaraies.Addon 1CD
Aldec.Active.HDL.v6.3.VHDL.Libaraies.Addon 1CD
Aldec.Active.HDL.v6.3.Xilinx.Schemetic.Libaraies.Addon
Aldec Riviera-PRO 2014.10
Aldec Riviera-PRO 2014.06
Win32_64 & Linux 3CD
Aldec Riviera-PRO 2014.2
Aldec Riviera-Pro v
Win32_64 2CD(业界独特的单内核VHDL/Verilog和EDIF混合仿真器)
Aldec.Riviera.v2007.02.Linux 1CD
Aldec.Riviera.v2007.02.LiNUX64 1CD
Aldec.ALINT.v2012.12.SR2.Win32_64
2CD(可支援Verilog语法的设计规则检验器)
Aldec.ALINT.v2008.02.Linux 1CD
CodeWarrior for Microcontrollers
CodeWarrior HC08 v3.0 1CD
CodeWarrior for HC12 v4.6 1CD
CVAVR v1.24.1e 1CD
DebugFactory Builder for AM1 Starter KIT松下单片机 1CD
DeLaMancha.PULS.v1.1.VSTi 1CD
FastAVR v4.0 1CD(以BASIC语言为基础的AVR开发平台)
FranklinC51 1CD(51单片机C语言开发环境)
FuzzyTECH Pro v5.54
1CD(单片机的模糊控制开发软件)
ICCV7 for AVR v7.19 1CD
ImageCraft.ICCAVR.Professional.v6.31a
1CD(编译器)
Keil C51 v.9.50a 1CD
Keil.Professional.for.C51.v9.0
1CD(适用于8051单片机及衍生系列如Dallas 390/, Philips 51MX, 及Analog Devices
的MicroConverters)
Keil.Professional.for.C166.v6.11 1CD(适用于XC16x, C16x, 及
ST10系列)
Keil.Professional.for.C251.v4.53a 1CD(适用于251
Microcontroller微处理机系列)
Keil C51 v8.18 1CD
Keil RealView Microcontroller Development Kit
Keil MDK-ARM v4.50 1CD(用来开发基于ARM核的系列微控制器的嵌入式应用程序)
Keil RL-ARM v4.13 1CD(镶入式单片机实时控制模拟编程开发工具)
Keil Software –Cx51 编译器用户手册 中文完整版(403页)
Keil uVision2软件中文入门教程
Matcom v4.5 1CD
Mplab.C18.v3.0 1CD(单片机开发软件)
Metrowerks Codewarrior for DSP5 1CD
Metrowerks Codewarrior v6.1 for Coldfire 1CD
PMA Software BlueControl v2.8 SR3 Multilingual 1CD&
PCWH v3.227 1CD
GX configuator-DP Ver.500 1CD
GX Developer v 8.0 1CD
Melsoft iQ Works v1.43-ISO
1DVD(三菱IQ WORKS工程软件)
由四个软件包组成:
&&&&&&&&&&&&&&&&
工程的核心导航软件MELSOFT Navigator
&&&&&&&&&&&&&&&&
PLC设计开发软件MELSOFT GX Works2
&&&&&&&&&&&&&&&&
运动CPU设计维护软件MELSOFT MT Works2
&&&&&&&&&&&&&&&&
触摸屏画面开发工具软件MELSOFT GT Works3
三菱PLC编程软件
Magma Design Automation产品:
Magma v Linux 1CD
Magma FineSim Pro v2010.08 Linux 1CD
Magma Siliconsmart 2010.10 Linux 1CD
Magma Talus v1.0.92 Linux32_64 1CD
Proteus Labcenter产品:
Proteus Design Suite
v8.1 SP1 build 17358 1CD(电路分析实物仿真系统)
Proteus.Pro.v8.0.SP1 1CD
Metrowerks产品:
CodeWarrior Development Studio v9.3 1CD
CodeWarrior Development Studio v9.3 Addon 1CD
WISE Software Solutions, Inc.产品:
Wise.Software.Solution.GerbTool.v16.2.37.SR3 1CD
Wise.Software.Solution.VisualCAM.v16.3.56.SR2
1CD(电子装配制造中的表面贴装技术应用软件)
Mician产品:
Mician Microwave Wizard v7.5 Win32_64 1CD(波导设计软件)
Tektronix产品:
WaveStar.v2.6
1CD(示波器WaveStar软件(WSTRO)是简便的PC与TDS3000B系列直接的接口软件)
CoWare, Inc.产品:
Coware LisaTek.
for WinALL 1CD(嵌入式处理器设计及软件开发工具)
Coware LisaTek. for Linux 1CD
CoWare.Processor.Designer(PD).vCD
Coware processor designer 2010.1 Linux 1CD
CoWare.Processor.Designer(PD).2011.Doc 1CD
CoWare.Signal.Processing.Designer(SPD).vCD(信号处理)
CoWare SPW 2010 1CD
CoWare SPW 5.02-XP 1CD(主要进行以下两点改进。第一是与美国The
MathWorks, Inc.的技术运算编程
&&&&&&&&&&&&&&&&&&&&&&&
及解析环境“MATLAB”互联,第二是全面更新GUI(图形用户界面))
Visual DSP v3.50-ISO&
1CD(美国模拟器件公司(ADI)发布的DSP开发工具)
Visual DSP.PlusPlus.v3.5.for.16.bit.WinALL 1CD
QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO
QNX Momentics Professional v6.2.1a-ISO 1CD
QNX.Neutrino8.v6.2.1.NC-ISO 1CD
QNX.Realtime.Platform.v6.10-ISO 1CD
ZUKEN产品:
Zuken.CR5000.Board.Designer/System.Designer.v12.0-ISO
Zuken CADSTAR v13.0 1CD(基于PC的PCB设计解决方案)
Zuken.Cadstar.v12.1.SP 1 1CD
Zuken Cadstar 3D v5.0 1CD
Zuken.CadStar.Desktop.Design.v8.0
Zuken CADStar 中文培训手册
Zuken CR5000 中文教程
Zuken.Hotstage v4.21 1CD
Zuken Hot-Stage v4.03 WinNT 1CD
E3.Series.2012.Win32-ISO
1DVD(英文版)
E3.Series.1.1000.0.Win64-ISO 1DVD
E3.Series 1.1010.0 Win32_ 64 Update Only 1CD
美国AWR产品:
AWR Microwave Office v11.0
AWR.Design.Environment.Vendor.Local.v8.0 1CD
AWR.Design.Environment.v10.04 1CD(射频/微波线路设计环境,整合了Microwave
Office、Analog Office
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&Visual System Simulator、Signal Ingegrity工具,将主要用于模拟电路
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&和射频集成电路(RFIC)的设计、信号仿真、信号一致性检查集成到了一个界面中)
AWR.Design.Environment.v8.0.Documentation 1CD
AWR.Testwave.for.AWRDE.v2.06.Win32 1CD(须先安装AWR Design
Environment v7.5)
AWR.Nuhertz.Filter.For.AWRDE.v5.14 1CD
Filter Wiz Pro v4.26
1CD(32位系统,滤波器电路设计软件,很好用!)
Nuhertz Filter Solutions 2014
v13.6.9 1CD(滤波器设计软件)
Nuhertz Zmatch v4.0.4
1CD(负荷频率分析软件)
通用有源滤波器uaf42配套设计软件FILTER v4.2
enali产品:
Denali.Memory.Modeler.v2.9.24.WINNT 1CD(存储器模型程序)
Denali.PureSuite.v3.2.069.Linux32 1CD
Denali.PureSuite.v3.2.069.Linux64 1CD
Denali.PureSuite.v3.2.055.Linux.IA64 1CD
Accelerated Designs产品:
Accelerated.Designs.UltraLibrarian.Gold.v5.3.409
1CD(旗舰Librarian(程序库)工具软件)
PCB Matrix产品:
PCBM LP Provisional v
1CD(原理图和PCB设计库的自动化生成EDA工具)
PCBM SymbolWizard Provisional v2.46.03 1CD
PCBM SYMWIZ v2.46.03 1CD
美国IXIA产品:
Ixhariot v6.70
1CD(独特的测试工具)
加拿大曼尼托巴HVDC研究中心产品:
ARM Developer Suite 1.2 1CD
ARM DS5 with RVCT v5.7-ISO
ARM.Firmware.Suite.v1.4-ISO 1CD
ARM.RealView.Developer.Suite(RVDS).v4.1-ISO
1CD(ARM集成开发工具)
ARM SOC Designer v7.1 Linux 1CD
ARM Software Development Toolkit v 2.51 1CD
Arm & Mips 源代码
ARM结构和编程(中文书)
2D simpler算法源程序
3D simpler算法源程序
Abacom sPlan 7.0 1CD
Atoll v2.8 1CD(无线规划软件)
Apsim 2003 1CD
AutoVue.Electro-Mechanical.Pro.v20.2.1.Win32
1CD(电子与机械工业文档查看、分析软件。内含强大的解决方案,并支持标准的问题跟踪系统)
AutoVue.SolidModel.Pro.v19.0.CHS 1CD
Bluespec.v2009.10B.Linux 1DVD
Bmp2Pcb v2.05 1CD(图形界面的BMP转PCB软件)
Bruker Topspin v3.0
1DVD(核磁共振处理软件)
Cadint PCB v4.03 1CD
Chipsmith v3.8.1 1CD
CircuitMaker 2000 1CD(仿真继电器的软件)
Circuit.Shop.v2.03.WinALL 1CD
Circuit Wizard Education 1.5 1CD
Cohesion AMS Designer v6.0
Cohesion Design Systems v5.11 1CD
Concept.Tools.v5.4 Winows & Linux 2CD
CopperCAM v
1CD(专业PCB雕刻软件)
Crocodile Technology 3D v607 1CD
CSiEDA v5.7.2 1CD(先进的电路设计软件)
Dolphins.Volts.v6.10 1CD
&&&&&&&&&&&&&&&&&&&&&&
IMST.EMPIRE.XCcel.v6.00-ISO 1CD(采用FDTD的全三维高频电磁场仿真软件包)
MagCAD.v2.3.4.WinALL
1CD(简单实惠的磁场空间分布计算软件。这些磁场可以是由永久磁铁或者非线性线圈形成的区域)
E-Tools.E-Studio.Pro.v4.42.029a 1CD
Elanix SystemView v2006 1CD(信号处理系统模拟与分析工具)
Elcut 4.1 1CD
Electra Autorouter v2.7 1CD
EMIT.Maxwell.v5.0.3.5607
1CD(电磁物理学处理分析解决方案)
EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。
EMSight还可以分析具有无限多层介质片,
&&&&&&&&&&&&&&&&&&&无限多个端口,并且介质层之间有互连的过条的任意平面电路)
Edison v4.0
1CD(中、英文破解版,电子实验室)
EndNote X7 1CD
ETS4 version 4.0.6
Professional 1CD
ETS3 Version 3.0e Professional 1CD
EWARM-EV v3.40A 1CD
Wade.Instruments.EZ.Schematics.v2.1.17 1CD(电气图纸设计软件)
FAISYN v2.2 1CD(一个滤波器设计软件)
Furret.PCB.v2.4 1CD
Forte Cynthesizer v3.60
Genesis Frontline v7.1 PCB Designer 1CD
Gemalto Developer Suite v3.4.2 1CD(无线通讯开发软件)
GT Works/GT Designer v3 1.40S-ISO
2CD(触摸屏编程软件)
MELSEC GT-Works3 v1.37P-ISO
HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)
Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)
Inventium Presys 2012 R3
1CD(有限元前后处理器)
IPC7351 LP Eval v4.20 1CD
IUE soft Minimos v6.1
IUE soft MinimosNT v2.1 SUSE32 1CD
IX1D.v3.35
1CD(一款1维直流(DC)电阻,诱发多倍性(IP),磁电的(MT)和电磁的地质探测重建软件)
KwickFit v5.2 1CD
LAVENIR v2001 1CD
Lattix.LDM.v5.0.5 1CD
Micrium &C/Probe v2.5 Build3891 1CD
Monitouch V-SFT 2009 v5.4
1DVD(触摸屏编辑软件)
Motorsolve v4.1 1CD
Omninet v6.07 for Windows PCB转SCH软件(即PCB转为原理图)
PC|SCHEMATIC Automation 14.02
Proton Development Suite v3.5.2.7 1CD
Portunus.v5.2
1CD(一款从电子驱动到旋转电机到大型负载的完全机电系统专业仿真软件)
RCP.Developer.v5.0.0 1CD
RealPic Simulator v1.3.0.0 1CD
UC Gui v3.26 1CD
V-ELEQ 电气仿真系统1.10 1CD
V-ELEQ使用说明书 1CD
V-ELEQ视频演示 1CD
ParCAM v8.0c 1CD
ParCAM v7.26d 操作手冊
PC-Lint v9.0 1CD
PCB Investigator 3.41 1CD
PCB Wizard Pro v3.50 1CD
Power v4.5.6 R7 1CD
PowerLogic v1.1 1CD
ProfiCAD.v8.1.1.Multilingual 1CD(电气原理图创建CAD工具)
Plexim.PLECS.Standalone.&.Blockset.v3.6.1.Win32_64
4CD(独立的时域仿真的电力电子系统软件)
Plexim.Plecs.Standalone.v3.3.5.for.Linux32_64 2CD
Plexim.Plecs.Standalone.v3.3.5.for.MacOSX 1CD
Pioneer.Hill.Software.SpectraPLUS.v5.0.26.0E
1CD(频谱管理软件系统,为各种无线通信的规划和管理提供了专业的工具)
Docklight Scripting v1.9
1CD(通过COM,TCP和UDP串行通信协议的自动化测试工具)
MyCAD MyAnal v6.3 1CD(模拟电路设计工具)
MyCAD MyChip 2005
1CD(Layout设计工具)
MyCAD.MyLogic.Station v5.1 1CD
(电路图Editor,逻辑Simulator仿真工具)
MyCAD.MyVHDL.v5.1 1CD
(VHDLSimulator 仿真工具)
Specctra ShapeBased Automation Software
v15.1-ISO 1CD(基于层对交互/自动布线的功能)
OVPsim v 1CD
PSC Design Kit 3.3 Linux 1CD
PCB Router Specctra v16.2 1CD
Pspice v9.2 1CD
Pspice 使用指南(中文)
NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)
Number One Systems Easy-PC PCB v15.03.0 Full 1CD
Rimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件)
Sidelinesoft NL5 Circuit Simulator
Win32_64 2CD
Linux32_64 2CD
SourceBoost IDE v7.02 1CD
Spice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)
Spice Vision v2.1 for LINUX 1CD
Spyglss v4.2 Linux32 1CD
Spyglss v4.2 Linux64 1CD
Timegen v3.2 Pro 1CD
TinyCAD v2.80.00.396 1CD
DesignSoft Tina v9.3.50
Industrial 1CD
Tina Pro v9.3.50 1CD
Tina Pro v6.0 中文版
Tina.Industrial.Pro.v8.0.with
Manual 1CD(英文版)
TINA.Pro 电子线路模拟仿真软件官方教程
Vivado and ISE Design Suites 2012.2
v14.2 1DVD
Xilinx.AccelDSP.v9.1 1CD
Xilinx DSP Tools v9.2.01.1028
Xilinx.ChipScope.Pro.v10.1.Windows.32bit
1CD(用于Xilinx FPGA的先进的实时调试和验证工具)
Xilinx.ChipScope.Pro.v9.2i.Windows.64bit 1CD
Xilinx.ChipScope.Pro.v9.2i.Linux.32bit 1CD
Xilinx.ChipScope.Pro.v9.2i.Linux.64bit 1CD
Xilinx EDK v9.2.01i 1CD
Xilinx EDK 9.2i WinALL-ISO
1DVD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)
Xilinx Foundation 4.1i-ISO 1CD
Xilinx Syetem Generator v8.2.01
Xilinx System Generaror v3.1 1CD
Xilinx 中文教程
Xilinx.ISE.Design.Suite.v14.6.WinALL-ISO
Xilinx.ISE.Design.Suite.v14.6.Linux-ISO 1DVD
Xilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CD
Xilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CD
Xilinx.ISE.v7.1i.Linux 1CD
Xilinx.ISE.v7.1i.Linux.X64 1CD
Xilinx.Embedded.Development.Kit.v6.3i
Xilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)
Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CD
Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CD
Xilinx.PlanAhead.Design.Analysis.Tool.v10.1-ISO
1CD(通过简化综合与布局布线间的步骤, 能使用户在设计中实现最高性能并极大的减少设计时间)
Xilinx.PlanAhead.v9.2.7.Linux 1CD
Xilinx.PlanAhead.v9.2.7.Solaris 1CD
Xilinx.TMRTool 9.2i 1CD
Xilinx.Vivado.Design.Suite.2014.4-ISO
Xilinx.Vivado.Design.Suite.2014.4.Linux-ISO 1DVD
Cosmic.Software.Suite.v10.2008-ISO
1CD(嵌入式系统开发工具)
piler.IDEA.and.ZAP.Sim v2.9p 1CD
piler.IDEA.and.ZAP.Sim.v4.5b
1CD(嵌入式系统开发工具包)
CosMIC STM8 16K C Compiler v4.2.8 1CD
Compilerfor STMicroelectronics STM8 Cosmic CxSTM8 4.2.8
mikroBasic.for.dsPIC30-33.and.PIC24.v6.0.0.0 1CD
MikroElektronika.MikroBasic.For.PIC.v7.0.0.2 1CD(全能且独立的PIC单片机编译器)
MikroBasic Pro for AVR
MikroC Pro for AVR
MikroPascal Pro for AVR v2.10 1CD
MikroBasic Pro PIC
MikroC Pro PIC v5.4 1CD
MikroPascal Pro PIC
Oshonsoft.8085.Simulator.IDE.v3.21 1CD
Oshonsoft.AVR.Simulator.IDE.v2.17 1CD
Oshonsoft.Function.Grapher.v1.20 1CD
Oshonsoft.PIC10 Simulator IDE 2.17 1CD
Oshonsoft.PIC10F.Simulator.IDE.v1.40 1CD
Oshonsoft.PIC16 Simulator IDE 1.17 1CD
Oshonsoft.PIC18.Simulator.IDE.v3.17 1CD
Oshonsoft.PIC.Simulator.IDE.v7.17 1CD
Oshonsoft.Z80.Simulator.IDE.v10.21 1CD
Impulse 3.0 1CD
DSP.Robotics.FlowStone.Professional.v1.1.2 1CD
Eagleware Genesys 2004.07
Final 1CD(世界领先的射频微波设计软件)
EagleWare Genesys v2003.03 SP3 1CD
Eplan Cabinet v2.0.5.4291
MultiLanguage-ISO 1CD
Eplan Electric P8 2.4
Multilanguage.Win32_64-ISO 1DVD(电气工程项目设计和管理)
Eplan.P8.Fluid.v2.4.4.8366
Win32_644 1DVD(面向流体的专业设计软件)
Eplan P8 Pro Panel 2.4
Win32_64-ISO 1DVD(高性能的3D安装布局软件)
Eplan P8 PPE v2.4.4.8366
Win32_64 1DVD
Eplan.Professional.v5.5-ISO
1CD(电子工程软件)
HyperLynx Simulation Software v4.66 1CD (PCB仿真软件)
HyperLynx Simulation Software
v5.01 Update 1CD
MetaWare.Arm.v4.5A .Working 1CD
Merco.PCB.Elegance.v2.5 1CD
Metapod.PCB.v2.4 1CD
MikroElektronika.MikroC.for.dsPIC.30-33.and.PIC.24.v4.0.0.0 1CD
Modelsim Xilinx Edition II V5.7C 1CD
Nassda.Hsim.v2.0.DateCode.CD
NI.Circuit.Design.Suite.Power.Pro.v11.0.1
NI.Circuit.Design.Suite.Pro.v11.0 1CD(NI电路设计套件
电路图捕捉, SPICE仿真和PCB布局)
PathLoss.v5.0 1CD(为频率在
30 MHz to 100 GHz之间的无线电通讯的通道设计工具)
PeakVHDL Pro v4.21a 1CD(一个VHDL通用仿真器)
PicBasic Pro v2.46 1CD
Picbasic Pro Compiler v2.42 1CD
PicBasic Plus v1.10 1CD
Advanced PCB Design System v2.5 1CD
Impulse CoDeveloper 3.70.d.11
(C-to-FPGA) Windows 1CD
Impulse CoDeveloper 3.70.d.11 (C-to-FPGA) Linux 1CD
Impulse.CoDeveloper.Universal.Pro.v3.60.a.2
1CD(用于开发FPGAs和基于FPGA的高性能电脑系统的一款C语言开发系统)
Impulse.CoDeveloper.Universal.v3.50.b.2.Linux 1CD
Imperas Open Virtual Platforms (OVP)
LinkCAD v5.7.0 1CD
Pulsonix.Advanced.Electronics.Design.System.v2.0(PCB电路板设计工具)
RSI BOM Explorer v6.7.017 1CD
Symphony.EDA.VHDL.Simili.Sonata.Professional.v3.1.13
SymphonyEDA.VHDL.Simili.v2.0 1CD
Simplis v4.2 1CD
Sigrity SpeedXP Suite v12.0.2
Win32-ISO 1CD
Sigrity SpeedXP Suite v11.0
Win64-ISO 1CD
Sigrity OptimizePI v2.0.11.10-ISO 1CD
Sigrity SpeedPKG v3.0-ISO 1CD
Sigrity UPD Viewer 1CD
Sigrity XcitePI v5.0 Win32-ISO 1CD
Systat.PeakFit.v4.11.WinAll 1CD(处理信号噪声的软件,可以自动分离和分析信号)
Texas.Instruments.OMAP.v2.ISO 1CD
TimeGen 3.1 Pro 1CD
Timing.Designer.Professional.v5.303.WINNT2K 1CD
Trolltech Qt Commercial v4.4.3
WinALL & Linux & MacOSX 1DVD
MULTSIM v10.1(中文版,电路设计套件
电路图捕捉, SPICE仿真和PCB布局)
Ultiboard 2001 1CD(multsim2001的PCB工具)
ULTImate Technology Ultiboard v5.72-ISO 1CD
VeriTools.Undertow.v9.0.DateCode.CD
VIRTINS Multi-Instrument v3.2
1CD(虚拟示波器软件)
WinELSO v6.2-ISO 1CD
Workview Office v7.5 1CD
X-HDL 4.2.1 Win32 1CD
XmanagerEnterprise v4.0.0185 1CD
Zuken.E3.Series.v2009-ISO
Zeland IE3D v15.0 1CD(时域有限差分全三维电磁场仿真软件包)
Zeland IE3D and Fidelity v9.0
Zeland.Product.Suite.v12 1CD(平面和三维电磁场仿真与优化软件包)
Electronics Workbench产品:
Electronics.Workbench.Ultiboard.v9.0.155
1CD(印刷电路板设计工具)
Electronics.Workbench.Ultiroute.for.Ultiboard.v9
1CD(轻松的完成大规模继集成电路,多层PCB板及类似于
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
BGA封装模式的多针脚电子元件的设计)
Electronics.Packaging.Designer.v7.2
1CD(设计复杂的电路板,有多种软件命令,可以使用EPD创建组建放置于你的设计中)
Multisim v11.0
1CD(电子电路设计、信号分析)
Multisim v10.1-ISO&1CD(中文汉化版)
Multisim 2001增强专业版汉化文件
Multisim 2001简明教程(中文)
EMSS产品:
FEKO v7.0.0
Win32_64 2CD(复杂形状三维物体的电磁场分析软件)
FEKO v6.3 Win64 1CD
FEKO 5.2 中文手册
FEKO 视频教学
SuperSpice产品:
SuperSpice v2.2.147
1CD(一款全自动的SPICE软件 )
Polar SB200a Professional v6.0
1CD(印刷电路板设计、测试系统)
Polar.SI9000E.Field.Solver.v6.00 1CD(印刷电路板阻抗计算与设计工具)
Polar.Instruments.SI8000.v6.1.0.WinALL
1CD(印刷电路板阻抗计算与设计工具)
Polar.Instruments.SI9000.v7.10.WinALL
1CD(新的Si9000传输线场解决方案整合了快速精确的无损失和独立于频率的PCB传输线建模)
QCAD v29.0 Win9xNT 1CD (全面的电路设计软件,它包括电路图以及PCB(印刷电路板)模块,
&&&&&&&&&&&&&&&&&&
&&&&&电路图模块支持简单层次,复杂层次)
TrepCAD St v3.3.1 1CD
CAM Expert v2.0.4.8
1CD(QCAD的扩展工具。可以自动生成G-Code, HP/GL以及其它格式)
CAMCAD & Translator v4.3.39 1CD
RSI CAMCAD Pro v4.5.1003
1CD(CAD/CAM辅助软件,用来进行数据的预处理以及PCB板的设计)
Ariel.Performance.Analysis.System.v2002.Rev1 1CD
Circuit.Shop.v2.03.WinALL 1CD(图形化CAD电路设计工具)
FpgaExpress
v3.5.1 Altera Oem 1CD
Holophase.CirCAD.v4.20e(较简单的电路图设计软件)
Microsim Design Center v5.1 1CD
SB200.StackUp.Builder.v6.2
1CD(用于快速建立电路板层的专业工具)
SB200.StackUp.Viewer.v6.2 1CD
Pulsonix v7.6 1CD(电子电路设计软件)
WinQcad v31.0 Win9x_NT
1CD(一款完善的电子线路设计系统,拥有图式控制平台与PCB(印刷电路板)设计两大模块,二者可单独或合并使用)
抄板软件4.2版 1CD
色环电阻计算软件01
世界三极管查询系统 V1.5
ALTERA产品:
Altera.QUARTUS.II.v13.1.0.162.Full.Working.Win32_64
1DVD(数字系统设计,是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具)
Altera.Quartus.II.Help.v13.1.0.162.Win32_64 1CD
Altera.Quartus.II.AOCL.v13.1.0.162.Win32_64
1CD(Altera版本的Opencl开发包,用C语言开发FPGA)
Altera.QUARTUS.II.DSP.Builder.v13.1.0.162.Win32_64 1CD
Altera.Quartus.II.ModelSim.v13.1.0.162.Win32_64 1DVD
Altera.Quartus.II.SoC.Embedded.Design.Suite(EDS).v13.1.0.162.Win32_64
1DVD(Altera推出的开发SOC的工具(就是集成ARM Cortex-A9的FPGA),
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
里面集成了全世界最厉害的ARM开发工具DS-5(从ARM公司定制的))
Altera Max Plus II 10.2
Altera.QUARTUS.II.v13.1.0.162.Full.Working.Linux32_64 1DVD
Altera.Quartus.II.Help.v13.1.0.162.Linux32_64 1CD
Altera.Quartus.II.AOCL.v13.1.0.162.Linux32_64 1CD
Altera.QUARTUS.II.DSPBuilder.v13.1.0.162.Linux32_64 1CD
Altera.Quartus.II.ModelSim.v13.1.0.162.Linux32_64 1DVD
Altera.Quartus.II.SoCEDS.v13.1.0.162.Linux32_64
Altera.Quartus.II.Arria.v13.1.0.162.WinALL.&.LinuxALL
1CD(器件库文件)
Altera.Quartus.II.Arriav.v13.1.0.162.WinALL.&.LinuxALL
1DVD(器件库文件)
Altera.Quartus.II.Arriavgz.v13.1.0.162.WinALL.&.LinuxALL
1DVD(器件库文件)
Altera.Quartus.II.Cyclone.v13.1.0.162.WinALL.&.LinuxALL
1CD(器件库文件)
Altera.Quartus.II.Cyclonev.v13.1.0.162.WinALL.&.LinuxALL
1DVD(器件库文件)
Altera.Quartus.II.Max.v13.1.0.162.WinALL.&.LinuxALL
1CD(器件库文件)
Altera.Quartus.II.Stratixiv.v13.1.0.162.WinALL.&.LinuxALL
1CD(器件库文件)
Altera.Quartus.II.Stratixv.v13.1.0.162.WinALL.&.LinuxALL
1DVD(器件库文件)
Altera.QUARTUS.II.Nios.II.Embredded.Suite.v9.0.Windows-ISO(嵌入式开发)
Altera.QUARTUS.II.DSP.Bulider.v9.0-ISO 1CD
Altera.QUARTUS.II.v8.0.Modelsim.v6.1g-ISO 1CD
Altera.QUARTUS.II.Megacore.IP.Library.v7.2.SP3.Full.Working-ISO 1CD
Altera.Quartus.II.v5.0.Linux64-ISO 2CD
Altera.Edition.ModelSim.v6.5b 1CD
Coventor产品:
CoventorWare v2010.0 1CD(MEMS系统设计、制造和模拟软件)
CoventorWare v2004 1CD(MEMS系统设计、制造和模拟软件)
Coventorware MEMS+ 2.1
juspertor UG产品:
L-Editor v8.22 for Win32 1CD
LayoutEditor.v
1CD(设计和编辑的MEMS布局/集成电路制造软件)
LayoutEditor.v Linux 1CD
Visionics产品
Visionics.EDWinXP.Professional.v1.80
1CD(电路设计工具,可以用来进行PCB的布局设计和编辑)
CADSOFT产品:
CadSoft.Eagle.Professional.v7.1.0.Multilingual.Windows
1CD(设计印刷电路板(PCB)的软件)
CadSoft.Eagle.Professional.v7.1.0.Multilingual.Linux
CadSoft.Eagle.Professional.v6.1.0.Multilingual.MacOSX 1CD
Accel-EDA v15.0 1CD
AdLabPlus.v3.1
1CD(电气工程软件,高级电机工程实验室。拥有20多个特性工具专为设计网络、传输线、变压器等设计)
Adlab.v2.31.WIN9X_NT_2K 1CD(电气工程软件,拥有20多个特性工具专为设计网络、传输线、变压器等设计)
B2.Spice.AD.Professional.v5.1.8 1CD(多模式模拟的电子自动化设计软件,纯数字模拟,快速精确,拥有功能强大的使用界面)
Comsystems.Integra.EDA.Tools.v4.0.SE.Pro 1CD(简体中文电路软件)
CSmith.v2.7(一个有许多高级功能的电机工程的制图软件,它包括:内含电气元件,S-参数块和和可利用的晶体元件,
&&&&&&&&&&
&易于修改、布局、载入及保存电路图,输出S-参数块及完整的电路图等等)
DipTrace.v2.4.0.2
Win32_64 2CD(是一套完整的印刷电路板设计系统)
EAGLE.PCB.Power.Tools.v5.06
Eagle.PCB.v4.09R2-ISO 1CD
MemsCap.Mems.Pro.v4.0-ISO 1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)
Meta Post v3.3.1(带有ANSYS和METApsot的LS-DYNA的前后处理器)
MAX+PLUS II v10.2 完全版 1CD(英文版 ·专业数字集成电路设计软件最新版)
MAX+PLUS II v10.23 最新升级补丁
MAX+PLUS II 开发工具包
MAX+PLUS II 中文快速入门
MAX Plus II 教程
PSoC.Designer.piler.v4.0& 1CD(高效能、可现场编程的混合讯号数组,针对消费性、工业、办公室
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&自动化、电信、以及汽车等应用领域提供可量产的嵌入型控制功能)
干式变压器计算程序v2.3
Unsorted产品:
Modbus OPC Server v2.7 1CD
ModScan 32 v4.A00-04 1CD
ModSim 32 v4.A00-04 1CD
加拿大SES公司产品:
CDEGS.2000.v9.4.3-ISO
1CD(电力系统接地分析软件)
美国PTI公司产品:
PSS E v33.40 1CD(大型电力系统仿真计算软件)
PSS/E v32.03-ISO 1CD
PSS/E v31.0-ISO 1CD
PSS E v31.20 Update Only 1CD
PSS Viper v3.0.4
1CD(电力工程的可视的仿真器)
PSS/E 中文操作手册
PSS/E 入门视频教程
加拿大马尼托巴产品:
v4.2<span id="text
Win32_64-ISO&
1CD(一个快速、灵活和准确的电器设备和电力系统的电磁暂态仿真专业软件)
PSCAD v4.20 用户手册(英文版)
v9.20 1CD(电力架线设计软件)
PLS.CADD.POLE.SAPS.Tower.v9.20.portable
Electrocon
International Inc.产品:
Electrocon International CAPE
2010-ISO 1CD(分析和数据管理电力传输网络的保护系统)
Eurostag v4.2 1CD(中长期稳定仿真软件)
CYME International产品:
Cyme.Cymcap.v4.6.R2 1CD(电力电缆安装载流容量和温升计算的工具)
Cyme.Cymdist.v4.7.R6
1CD(对平衡或者不平衡的三相、两相、单相的电力系统进行分析的工具软件)
CYME.Cymgrd.v6.3.R7 1CD
Cyme.Cymtcc.v4.5.R8 1CD(电力系统保护装置协调进行分析与研究的工具软件)
Cyme.Psaf.v3.1.R1.11 1CD(整合性电力系统分析软体系列,它的基本架构系独立于模拟模组的
&&&&&&&&&&&&&&&&&&&&&&&&&型态与数量, 这些家族成员 ( 模拟模组 )
都共用一个整合的电
&&&&&&&&&&&&&&&&&&&&&&&&&网设备资料库。 PSAF
可执行广泛的电力系统分析工作:如,电力
&&&&&&&&&&&&&&&&&&&&&&&&&潮流分析、紧急事故分析、电动机启动分析、短路故障分析、暂态
&&&&&&&&&&&&&&&&&&&&&&&& 稳定度分析、谐波分析)
CGI CYME产品:
AutoTRAX EDA v9.20
1CD(电子线路板布线设计软件,它提供了实施当今复杂的电子PCB设计应必备的所有高级工具)
CCS 2.2 for C6000-ISO 1CD
CCS for PIC 3.227 1CD
CirMaker v6.2C 1CD(继电器设计软件)
Edsa Technical 2000 SP3.5 Rev1a-ISO
1CD(电力系统分析)
Active Factory v9.1.000.0216 Multilingual-ISO 1CD
ATPDRAW v3.4 for WinALL
1CD(电力系统电磁暂态仿真软件)
DIgSILENT PowerFactory v14.1.3
ICS.Triplex.ISaGRAF.v5.13.309
1CD(自动化和控制软件)
Industrial SQL Server v9.0.000.0341 Multilingual-ISO
InTouch v10.1-ISO 1CD(过程可视化软件)
ViDEC.MelSYS.v4.0.SP1.MultiLanguage-ISO
1CD(信息干扰调节系统)
Magnetics Designer v4.1 Build 252 1CD(变压器设计软件)
MyBPA 1.0& 1CD
Antenna Magus v5.1.0 Pro 1CD(天线设计)
Neplan v5.35.WinALL 1CD
Netlist.ECO.GOF.v4.0.Linux32_64 2CD
Scopview 2010b 1CD
SKM.PowerTools .v7.0.2.4 1CD
Sonnet Suite Pro v15.52-ISO 1CD(三维高频电子设计)
Sonnet Suite Pro v15.52 Linux 1CD
Super.FinSim.v10.0.03 1CD(高性能仿真器)
Super.Finsim.v10.0.03.Linux 1CD
Super.Finsim.v9.3.44.Linux.64Bit 1CD
Super.FinSim.v10.0.03.Solaris 1CD
Super.Finsim.v6.2.09.Solaris.64Bit 1CD
Spectrum.Micro-Cap.v10.0.9.2.Full 1CD(集成模拟/数字电路的设计编辑、混合以及绘制草图和环境模拟的模拟软件)
SuperNEC v2.9-ISO
1CD(天线分析,基于MATLAB的输入、输出介面)
SynaptiCAD.AllProducts.v19.00c
SynaptiCAD.Product.Suite.v19.00c.Linux64
SynaptiCAD.Product.Suite.v17.01g.Linux 1CD
SynaptiCAD.AllProducts.v13.24a.SOLARIS 1CD
SynaptiCAD.v2v 1CD
SuperWORKS v7.0 完全破解版 1CD
SuperWORKS 网络版 R6.0&
1CD(陕西利达电力电子有限责任公司以AutoCAD R14/2002为平台二次开发的专门用于电路图设计的软件)
Code Composer Studio(CCS)
v6.0.1.00040.Win32 1CD
TI.poser.Studio.v2.0-ISO 1CD
TI.Code Composer Studio v5.21-ISO 1DVD(集成开发环境(IDE)白金版)
poser.Studio.v2.2.for.C6X 1CD
TI.Msp430.KickStart.v3.01 1CD
puter.Link.Software.MultiLanguage-ISO
2CD(芯片开发)
BPA 2006 1CD
DSA PowerTools v4.0-ISO 1CD
EMTPWorks v2.02 1CD
Gaia.v4.2.0.1.MultiLanguage.WinALL
1CD(应用于电力行业的,低压电网计算机辅助设计软件)
GENESIS32 v7.2 1CD(工控软件)
DAQFactory Pro v5.87a Build 1972 1CD(组态软件DAQFactory(数采工厂)是一个完整的系统解决方案,它包容了数据采集
过程控制和数据分析,
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
能从SerialRS232、OPC、DAQ、LabJack USB 设备、Modbus设备中采集数据, 并进行分析处理的软
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
件。用于自动化控制、科学研究、教育等场合)
Movicon v11.3 1CD(组态软件)
PCFLO v6.0
1CD(电力系统谐波仿真分析软件)
Power World Simulator v8.0
ScopeView v1.12 1CD
Vision.v5.7.3.1.MultiLanguage.WinALL(电力网络高级分析工具,用作输电、配电和工业电网的规划部署、设计和管理)
V-ELEQ 电气仿真软件 1CD
ABB公司的电力系统仿真分析软件SIMPOW 10.1 1CD
三相异步电机设计3.0 破解版 1CD
三相异步电机CAD系统 1CD
变压器设计大师2.0 1CD(破解版)
电力系统分析综合程序PSASP7.0-ISO 1CD
电力系统分析综合程序PSASP7.0 用户手册
混合发电系统模拟软件Hybrid2 v1.3e 1CD
整流变压器功率计算软件 PowerCalc
组态王KingView v6.55 Win64
组态王6.51中文版-ISO&1CD(无限点破解版)
昆仑通态MCGS全中文标准版060310-ISO 1CD(6.2无限点破解版,包括通用版、网络5用户版)
昆仑通态MCGS初级教程、高级教程
力控教程(中文)
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
&&&&&&&&&&&&&&&&&&&&
&&&&&&&&&&&&&&&&
本站软件主要供网友交流及学习使用,请勿用于商业用途。
Copyright(C)}

我要回帖

更多关于 卫生许可证申请书 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信